rggen 0.8.2 → 0.9.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (269) hide show
  1. checksums.yaml +4 -4
  2. data/CODE_OF_CONDUCT.md +54 -29
  3. data/{LICENSE.txt → LICENSE} +1 -1
  4. data/README.md +65 -56
  5. data/lib/rggen.rb +4 -63
  6. data/lib/rggen/built_in.rb +53 -0
  7. data/lib/rggen/built_in/bit_field/bit_assignment.rb +108 -0
  8. data/lib/rggen/built_in/bit_field/comment.rb +16 -0
  9. data/lib/rggen/built_in/bit_field/initial_value.rb +45 -0
  10. data/lib/rggen/built_in/bit_field/name.rb +39 -0
  11. data/lib/rggen/built_in/bit_field/reference.rb +100 -0
  12. data/lib/rggen/built_in/bit_field/sv_rtl_top.rb +87 -0
  13. data/lib/rggen/built_in/bit_field/type.rb +279 -0
  14. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb +15 -0
  15. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb +68 -0
  16. data/lib/rggen/built_in/bit_field/type/reserved.erb +3 -0
  17. data/lib/rggen/built_in/bit_field/type/reserved.rb +16 -0
  18. data/lib/rggen/built_in/bit_field/type/ro.erb +6 -0
  19. data/lib/rggen/built_in/bit_field/type/ro.rb +34 -0
  20. data/lib/rggen/built_in/bit_field/type/rof.erb +6 -0
  21. data/lib/rggen/built_in/bit_field/type/rof.rb +17 -0
  22. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb +13 -0
  23. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb +52 -0
  24. data/lib/rggen/built_in/bit_field/type/rw_wo.erb +9 -0
  25. data/lib/rggen/built_in/bit_field/type/rw_wo.rb +33 -0
  26. data/lib/rggen/built_in/bit_field/type/rwe_rwl.erb +14 -0
  27. data/lib/rggen/built_in/bit_field/type/rwe_rwl.rb +39 -0
  28. data/lib/rggen/built_in/global/address_width.rb +32 -0
  29. data/lib/rggen/built_in/global/array_port_format.rb +19 -0
  30. data/lib/rggen/built_in/global/bus_width.rb +33 -0
  31. data/lib/rggen/built_in/global/fold_sv_interface_port.rb +24 -0
  32. data/lib/rggen/built_in/register/name.rb +34 -0
  33. data/lib/rggen/built_in/register/offset_address.rb +96 -0
  34. data/lib/rggen/built_in/register/size.rb +49 -0
  35. data/lib/rggen/built_in/register/sv_rtl_top.rb +82 -0
  36. data/lib/rggen/built_in/register/type.rb +374 -0
  37. data/lib/rggen/built_in/register/type/default_sv_ral.erb +8 -0
  38. data/lib/rggen/built_in/register/type/default_sv_rtl.erb +15 -0
  39. data/lib/rggen/built_in/register/type/external.erb +11 -0
  40. data/lib/rggen/built_in/register/type/external.rb +141 -0
  41. data/lib/rggen/built_in/register/type/indirect.rb +329 -0
  42. data/lib/rggen/built_in/register/type/indirect_sv_ral.erb +13 -0
  43. data/lib/rggen/built_in/register/type/indirect_sv_rtl.erb +17 -0
  44. data/lib/rggen/built_in/register_block/byte_size.rb +59 -0
  45. data/lib/rggen/built_in/register_block/name.rb +36 -0
  46. data/lib/rggen/built_in/register_block/protocol.rb +71 -0
  47. data/lib/rggen/built_in/register_block/protocol/apb.erb +10 -0
  48. data/lib/rggen/built_in/register_block/protocol/apb.rb +113 -0
  49. data/lib/rggen/built_in/register_block/protocol/axi4lite.erb +11 -0
  50. data/lib/rggen/built_in/register_block/protocol/axi4lite.rb +167 -0
  51. data/lib/rggen/built_in/register_block/sv_ral_block_model.erb +11 -0
  52. data/lib/rggen/built_in/register_block/sv_ral_package.rb +58 -0
  53. data/lib/rggen/built_in/register_block/sv_rtl_macros.erb +9 -0
  54. data/lib/rggen/built_in/register_block/sv_rtl_top.rb +87 -0
  55. data/lib/rggen/built_in/version.rb +7 -0
  56. data/lib/rggen/default_setup_file.rb +6 -0
  57. data/lib/rggen/setup/default.rb +26 -0
  58. data/lib/rggen/version.rb +5 -4
  59. data/sample/block_0.rb +85 -0
  60. data/sample/block_0.sv +601 -0
  61. data/sample/block_0.xlsx +0 -0
  62. data/sample/block_0.yml +94 -0
  63. data/sample/block_0_ral_pkg.sv +174 -0
  64. data/sample/block_1.rb +22 -0
  65. data/sample/block_1.sv +136 -0
  66. data/sample/block_1.xlsx +0 -0
  67. data/sample/block_1.yml +26 -0
  68. data/sample/block_1_ral_pkg.sv +68 -0
  69. data/sample/config.json +5 -0
  70. data/sample/config.yml +3 -0
  71. metadata +96 -270
  72. data/bin/rggen +0 -6
  73. data/c_header/LICENSE +0 -21
  74. data/c_header/rggen.h +0 -17
  75. data/lib/rggen/base/component.rb +0 -31
  76. data/lib/rggen/base/component_factory.rb +0 -53
  77. data/lib/rggen/base/hierarchical_accessors.rb +0 -87
  78. data/lib/rggen/base/hierarchical_item_accessors.rb +0 -79
  79. data/lib/rggen/base/internal_struct.rb +0 -28
  80. data/lib/rggen/base/item.rb +0 -35
  81. data/lib/rggen/base/item_factory.rb +0 -25
  82. data/lib/rggen/builder/builder.rb +0 -69
  83. data/lib/rggen/builder/category.rb +0 -63
  84. data/lib/rggen/builder/component_entry.rb +0 -50
  85. data/lib/rggen/builder/component_store.rb +0 -42
  86. data/lib/rggen/builder/input_component_store.rb +0 -25
  87. data/lib/rggen/builder/item_store.rb +0 -89
  88. data/lib/rggen/builder/list_item_entry.rb +0 -81
  89. data/lib/rggen/builder/output_component_store.rb +0 -13
  90. data/lib/rggen/builder/simple_item_entry.rb +0 -33
  91. data/lib/rggen/builtins.rb +0 -55
  92. data/lib/rggen/builtins/bit_field/bit_assignment.rb +0 -39
  93. data/lib/rggen/builtins/bit_field/field_model.rb +0 -38
  94. data/lib/rggen/builtins/bit_field/initial_value.rb +0 -36
  95. data/lib/rggen/builtins/bit_field/name.rb +0 -26
  96. data/lib/rggen/builtins/bit_field/reference.rb +0 -40
  97. data/lib/rggen/builtins/bit_field/rtl_top.rb +0 -11
  98. data/lib/rggen/builtins/bit_field/type.rb +0 -244
  99. data/lib/rggen/builtins/bit_field/types/reserved.erb +0 -6
  100. data/lib/rggen/builtins/bit_field/types/reserved.rb +0 -18
  101. data/lib/rggen/builtins/bit_field/types/ro.erb +0 -6
  102. data/lib/rggen/builtins/bit_field/types/ro.rb +0 -22
  103. data/lib/rggen/builtins/bit_field/types/rw.erb +0 -9
  104. data/lib/rggen/builtins/bit_field/types/rw.rb +0 -23
  105. data/lib/rggen/builtins/bit_field/types/rwl_rwe.erb +0 -11
  106. data/lib/rggen/builtins/bit_field/types/rwl_rwe.rb +0 -54
  107. data/lib/rggen/builtins/bit_field/types/w0c_w1c.erb +0 -12
  108. data/lib/rggen/builtins/bit_field/types/w0c_w1c.rb +0 -33
  109. data/lib/rggen/builtins/bit_field/types/w0s_w1s.erb +0 -12
  110. data/lib/rggen/builtins/bit_field/types/w0s_w1s.rb +0 -33
  111. data/lib/rggen/builtins/bit_field/types/wo.rb +0 -5
  112. data/lib/rggen/builtins/global/address_width.rb +0 -17
  113. data/lib/rggen/builtins/global/array_port_format.rb +0 -15
  114. data/lib/rggen/builtins/global/data_width.rb +0 -20
  115. data/lib/rggen/builtins/global/unfold_sv_interface_port.rb +0 -22
  116. data/lib/rggen/builtins/loaders/configuration/json_loader.rb +0 -7
  117. data/lib/rggen/builtins/loaders/configuration/yaml_loader.rb +0 -7
  118. data/lib/rggen/builtins/loaders/register_map/csv_loader.rb +0 -12
  119. data/lib/rggen/builtins/loaders/register_map/xls_loader.rb +0 -17
  120. data/lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb +0 -21
  121. data/lib/rggen/builtins/register/array.rb +0 -30
  122. data/lib/rggen/builtins/register/constructor.rb +0 -17
  123. data/lib/rggen/builtins/register/field_model_creator.rb +0 -14
  124. data/lib/rggen/builtins/register/indirect_index_configurator.rb +0 -54
  125. data/lib/rggen/builtins/register/name.rb +0 -26
  126. data/lib/rggen/builtins/register/offset_address.rb +0 -61
  127. data/lib/rggen/builtins/register/reg_model.rb +0 -107
  128. data/lib/rggen/builtins/register/rtl_top.rb +0 -68
  129. data/lib/rggen/builtins/register/sub_block_model.rb +0 -34
  130. data/lib/rggen/builtins/register/type.rb +0 -283
  131. data/lib/rggen/builtins/register/types/default.erb +0 -10
  132. data/lib/rggen/builtins/register/types/external.erb +0 -11
  133. data/lib/rggen/builtins/register/types/external.rb +0 -77
  134. data/lib/rggen/builtins/register/types/indirect.erb +0 -13
  135. data/lib/rggen/builtins/register/types/indirect.rb +0 -175
  136. data/lib/rggen/builtins/register/uniqueness_validator.rb +0 -51
  137. data/lib/rggen/builtins/register_block/address_struct.rb +0 -56
  138. data/lib/rggen/builtins/register_block/base_address.rb +0 -64
  139. data/lib/rggen/builtins/register_block/block_model.rb +0 -20
  140. data/lib/rggen/builtins/register_block/byte_size.rb +0 -37
  141. data/lib/rggen/builtins/register_block/c_header_file.rb +0 -15
  142. data/lib/rggen/builtins/register_block/clock_reset.rb +0 -8
  143. data/lib/rggen/builtins/register_block/constructor.rb +0 -14
  144. data/lib/rggen/builtins/register_block/default_map_creator.rb +0 -39
  145. data/lib/rggen/builtins/register_block/host_if.rb +0 -64
  146. data/lib/rggen/builtins/register_block/host_ifs/apb.erb +0 -10
  147. data/lib/rggen/builtins/register_block/host_ifs/apb.rb +0 -64
  148. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.erb +0 -11
  149. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.rb +0 -93
  150. data/lib/rggen/builtins/register_block/name.rb +0 -26
  151. data/lib/rggen/builtins/register_block/ral_package.rb +0 -24
  152. data/lib/rggen/builtins/register_block/rtl_top.rb +0 -38
  153. data/lib/rggen/builtins/register_block/sub_model_creator.rb +0 -14
  154. data/lib/rggen/commands.rb +0 -23
  155. data/lib/rggen/core_components.rb +0 -54
  156. data/lib/rggen/core_components/c_header/item.rb +0 -8
  157. data/lib/rggen/core_components/c_header/setup.rb +0 -19
  158. data/lib/rggen/core_components/c_utility.rb +0 -19
  159. data/lib/rggen/core_components/c_utility/data_structure_definition.rb +0 -60
  160. data/lib/rggen/core_components/c_utility/source_file.rb +0 -10
  161. data/lib/rggen/core_components/c_utility/variable_declaration.rb +0 -35
  162. data/lib/rggen/core_components/code_utility.rb +0 -56
  163. data/lib/rggen/core_components/code_utility/code_block.rb +0 -72
  164. data/lib/rggen/core_components/code_utility/line.rb +0 -28
  165. data/lib/rggen/core_components/code_utility/source_file.rb +0 -97
  166. data/lib/rggen/core_components/configuration/configuration_factory.rb +0 -23
  167. data/lib/rggen/core_components/configuration/item.rb +0 -11
  168. data/lib/rggen/core_components/configuration/item_factory.rb +0 -20
  169. data/lib/rggen/core_components/configuration/raise_error.rb +0 -11
  170. data/lib/rggen/core_components/configuration/setup.rb +0 -14
  171. data/lib/rggen/core_components/erb_engine.rb +0 -15
  172. data/lib/rggen/core_components/ral/component.rb +0 -24
  173. data/lib/rggen/core_components/ral/item.rb +0 -59
  174. data/lib/rggen/core_components/ral/setup.rb +0 -19
  175. data/lib/rggen/core_components/register_map/bit_field_factory.rb +0 -11
  176. data/lib/rggen/core_components/register_map/component.rb +0 -15
  177. data/lib/rggen/core_components/register_map/component_factory.rb +0 -9
  178. data/lib/rggen/core_components/register_map/generic_map.rb +0 -80
  179. data/lib/rggen/core_components/register_map/item.rb +0 -26
  180. data/lib/rggen/core_components/register_map/item_factory.rb +0 -26
  181. data/lib/rggen/core_components/register_map/loader.rb +0 -11
  182. data/lib/rggen/core_components/register_map/raise_error.rb +0 -17
  183. data/lib/rggen/core_components/register_map/register_block_factory.rb +0 -29
  184. data/lib/rggen/core_components/register_map/register_factory.rb +0 -18
  185. data/lib/rggen/core_components/register_map/register_map_factory.rb +0 -18
  186. data/lib/rggen/core_components/register_map/setup.rb +0 -33
  187. data/lib/rggen/core_components/rtl/component.rb +0 -24
  188. data/lib/rggen/core_components/rtl/item.rb +0 -82
  189. data/lib/rggen/core_components/rtl/setup.rb +0 -19
  190. data/lib/rggen/core_components/verilog_utility.rb +0 -88
  191. data/lib/rggen/core_components/verilog_utility/class_definition.rb +0 -56
  192. data/lib/rggen/core_components/verilog_utility/identifier.rb +0 -78
  193. data/lib/rggen/core_components/verilog_utility/interface_instance.rb +0 -37
  194. data/lib/rggen/core_components/verilog_utility/interface_port.rb +0 -33
  195. data/lib/rggen/core_components/verilog_utility/local_scope.rb +0 -75
  196. data/lib/rggen/core_components/verilog_utility/module_definition.rb +0 -82
  197. data/lib/rggen/core_components/verilog_utility/package_definition.rb +0 -57
  198. data/lib/rggen/core_components/verilog_utility/source_file.rb +0 -10
  199. data/lib/rggen/core_components/verilog_utility/structure_definition.rb +0 -51
  200. data/lib/rggen/core_components/verilog_utility/subroutine_definition.rb +0 -41
  201. data/lib/rggen/core_components/verilog_utility/variable.rb +0 -115
  202. data/lib/rggen/core_extensions/array.rb +0 -9
  203. data/lib/rggen/core_extensions/facets.rb +0 -22
  204. data/lib/rggen/core_extensions/forwardable.rb +0 -49
  205. data/lib/rggen/core_extensions/integer.rb +0 -5
  206. data/lib/rggen/core_extensions/math.rb +0 -7
  207. data/lib/rggen/core_extensions/roo.rb +0 -17
  208. data/lib/rggen/exceptions.rb +0 -28
  209. data/lib/rggen/generator.rb +0 -67
  210. data/lib/rggen/input_base/component.rb +0 -28
  211. data/lib/rggen/input_base/component_factory.rb +0 -58
  212. data/lib/rggen/input_base/item.rb +0 -171
  213. data/lib/rggen/input_base/item_factory.rb +0 -13
  214. data/lib/rggen/input_base/loader.rb +0 -23
  215. data/lib/rggen/input_base/regexp_patterns.rb +0 -29
  216. data/lib/rggen/option_switches.rb +0 -60
  217. data/lib/rggen/options.rb +0 -97
  218. data/lib/rggen/output_base/code_generator.rb +0 -36
  219. data/lib/rggen/output_base/component.rb +0 -78
  220. data/lib/rggen/output_base/component_factory.rb +0 -32
  221. data/lib/rggen/output_base/file_writer.rb +0 -36
  222. data/lib/rggen/output_base/item.rb +0 -110
  223. data/lib/rggen/output_base/item_factory.rb +0 -9
  224. data/lib/rggen/output_base/template_engine.rb +0 -24
  225. data/lib/rggen/rggen_home.rb +0 -3
  226. data/ral/LICENSE +0 -21
  227. data/ral/compile.f +0 -2
  228. data/ral/rggen_ral_block.svh +0 -83
  229. data/ral/rggen_ral_field.svh +0 -47
  230. data/ral/rggen_ral_field_rwl_rwe.svh +0 -158
  231. data/ral/rggen_ral_indirect_reg.svh +0 -193
  232. data/ral/rggen_ral_macros.svh +0 -27
  233. data/ral/rggen_ral_map.svh +0 -124
  234. data/ral/rggen_ral_pkg.sv +0 -15
  235. data/ral/rggen_ral_reg.svh +0 -88
  236. data/rtl/LICENSE +0 -21
  237. data/rtl/compile.f +0 -18
  238. data/rtl/rggen_address_decoder.sv +0 -23
  239. data/rtl/rggen_apb_if.sv +0 -41
  240. data/rtl/rggen_axi4lite_if.sv +0 -68
  241. data/rtl/rggen_bit_field_if.sv +0 -28
  242. data/rtl/rggen_bit_field_ro.sv +0 -9
  243. data/rtl/rggen_bit_field_rw.sv +0 -25
  244. data/rtl/rggen_bit_field_rwl_rwe.sv +0 -29
  245. data/rtl/rggen_bit_field_w01s_w01c.sv +0 -55
  246. data/rtl/rggen_bus_if.sv +0 -43
  247. data/rtl/rggen_bus_splitter.sv +0 -86
  248. data/rtl/rggen_default_register.sv +0 -15
  249. data/rtl/rggen_external_register.sv +0 -83
  250. data/rtl/rggen_host_if_apb.sv +0 -29
  251. data/rtl/rggen_host_if_axi4lite.sv +0 -161
  252. data/rtl/rggen_indirect_register.sv +0 -21
  253. data/rtl/rggen_register_base.sv +0 -57
  254. data/rtl/rggen_register_if.sv +0 -42
  255. data/rtl/rggen_rtl_pkg.sv +0 -23
  256. data/sample/LICENSE +0 -21
  257. data/sample/sample.csv +0 -21
  258. data/sample/sample.json +0 -6
  259. data/sample/sample.xls +0 -0
  260. data/sample/sample.xlsx +0 -0
  261. data/sample/sample.yaml +0 -4
  262. data/sample/sample_0.h +0 -17
  263. data/sample/sample_0.sv +0 -402
  264. data/sample/sample_0_ral_pkg.sv +0 -145
  265. data/sample/sample_1.h +0 -9
  266. data/sample/sample_1.sv +0 -128
  267. data/sample/sample_1_ral_pkg.sv +0 -56
  268. data/sample/sample_setup.rb +0 -24
  269. data/setup/default.rb +0 -14
@@ -0,0 +1,68 @@
1
+ package block_1_ral_pkg;
2
+ import uvm_pkg::*;
3
+ import rggen_ral_pkg::*;
4
+ `include "uvm_macros.svh"
5
+ `include "rggen_ral_macros.svh"
6
+ class register_0_reg_model extends rggen_ral_reg;
7
+ rand rggen_ral_field bit_field_0[4];
8
+ rand rggen_ral_field bit_field_1[4];
9
+ function new(string name);
10
+ super.new(name, 64, 0);
11
+ endfunction
12
+ function void build();
13
+ `rggen_ral_create_field_model(bit_field_0[0], 0, 8, RW, 0, 8'h00, 1)
14
+ `rggen_ral_create_field_model(bit_field_0[1], 16, 8, RW, 0, 8'h00, 1)
15
+ `rggen_ral_create_field_model(bit_field_0[2], 32, 8, RW, 0, 8'h00, 1)
16
+ `rggen_ral_create_field_model(bit_field_0[3], 48, 8, RW, 0, 8'h00, 1)
17
+ `rggen_ral_create_field_model(bit_field_1[0], 8, 8, RO, 1, 8'h00, 0)
18
+ `rggen_ral_create_field_model(bit_field_1[1], 24, 8, RO, 1, 8'h00, 0)
19
+ `rggen_ral_create_field_model(bit_field_1[2], 40, 8, RO, 1, 8'h00, 0)
20
+ `rggen_ral_create_field_model(bit_field_1[3], 56, 8, RO, 1, 8'h00, 0)
21
+ endfunction
22
+ endclass
23
+ class register_1_reg_model extends rggen_ral_reg;
24
+ rand rggen_ral_field bit_field_0[4];
25
+ rand rggen_ral_field bit_field_1[4];
26
+ function new(string name);
27
+ super.new(name, 64, 0);
28
+ endfunction
29
+ function void build();
30
+ `rggen_ral_create_field_model(bit_field_0[0], 0, 8, RO, 1, 8'h00, 0)
31
+ `rggen_ral_create_field_model(bit_field_0[1], 16, 8, RO, 1, 8'h00, 0)
32
+ `rggen_ral_create_field_model(bit_field_0[2], 32, 8, RO, 1, 8'h00, 0)
33
+ `rggen_ral_create_field_model(bit_field_0[3], 48, 8, RO, 1, 8'h00, 0)
34
+ `rggen_ral_create_field_model(bit_field_1[0], 8, 8, RW, 0, 8'h00, 1)
35
+ `rggen_ral_create_field_model(bit_field_1[1], 24, 8, RW, 0, 8'h00, 1)
36
+ `rggen_ral_create_field_model(bit_field_1[2], 40, 8, RW, 0, 8'h00, 1)
37
+ `rggen_ral_create_field_model(bit_field_1[3], 56, 8, RW, 0, 8'h00, 1)
38
+ endfunction
39
+ endclass
40
+ class block_1_block_model extends rggen_ral_block;
41
+ rand register_0_reg_model register_0[2][4];
42
+ rand register_1_reg_model register_1[2][4];
43
+ function new(string name);
44
+ super.new(name);
45
+ endfunction
46
+ function void build();
47
+ `rggen_ral_create_reg_model(register_0[0][0], '{0, 0}, 7'h00, RW, 0, g_register_0.g[0].g[0].u_register)
48
+ `rggen_ral_create_reg_model(register_0[0][1], '{0, 1}, 7'h08, RW, 0, g_register_0.g[0].g[1].u_register)
49
+ `rggen_ral_create_reg_model(register_0[0][2], '{0, 2}, 7'h10, RW, 0, g_register_0.g[0].g[2].u_register)
50
+ `rggen_ral_create_reg_model(register_0[0][3], '{0, 3}, 7'h18, RW, 0, g_register_0.g[0].g[3].u_register)
51
+ `rggen_ral_create_reg_model(register_0[1][0], '{1, 0}, 7'h20, RW, 0, g_register_0.g[1].g[0].u_register)
52
+ `rggen_ral_create_reg_model(register_0[1][1], '{1, 1}, 7'h28, RW, 0, g_register_0.g[1].g[1].u_register)
53
+ `rggen_ral_create_reg_model(register_0[1][2], '{1, 2}, 7'h30, RW, 0, g_register_0.g[1].g[2].u_register)
54
+ `rggen_ral_create_reg_model(register_0[1][3], '{1, 3}, 7'h38, RW, 0, g_register_0.g[1].g[3].u_register)
55
+ `rggen_ral_create_reg_model(register_1[0][0], '{0, 0}, 7'h40, RW, 0, g_register_1.g[0].g[0].u_register)
56
+ `rggen_ral_create_reg_model(register_1[0][1], '{0, 1}, 7'h48, RW, 0, g_register_1.g[0].g[1].u_register)
57
+ `rggen_ral_create_reg_model(register_1[0][2], '{0, 2}, 7'h50, RW, 0, g_register_1.g[0].g[2].u_register)
58
+ `rggen_ral_create_reg_model(register_1[0][3], '{0, 3}, 7'h58, RW, 0, g_register_1.g[0].g[3].u_register)
59
+ `rggen_ral_create_reg_model(register_1[1][0], '{1, 0}, 7'h60, RW, 0, g_register_1.g[1].g[0].u_register)
60
+ `rggen_ral_create_reg_model(register_1[1][1], '{1, 1}, 7'h68, RW, 0, g_register_1.g[1].g[1].u_register)
61
+ `rggen_ral_create_reg_model(register_1[1][2], '{1, 2}, 7'h70, RW, 0, g_register_1.g[1].g[2].u_register)
62
+ `rggen_ral_create_reg_model(register_1[1][3], '{1, 3}, 7'h78, RW, 0, g_register_1.g[1].g[3].u_register)
63
+ endfunction
64
+ function uvm_reg_map create_default_map();
65
+ return create_map("default_map", 0, 4, UVM_LITTLE_ENDIAN, 1);
66
+ endfunction
67
+ endclass
68
+ endpackage
@@ -0,0 +1,5 @@
1
+ {
2
+ "bus_width": 32,
3
+ "address_width": 16,
4
+ "protocol": "apb"
5
+ }
data/sample/config.yml ADDED
@@ -0,0 +1,3 @@
1
+ bus_width: 32
2
+ address_width: 16
3
+ protocol: apb
metadata CHANGED
@@ -1,331 +1,157 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: rggen
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.8.2
4
+ version: 0.9.0
5
5
  platform: ruby
6
6
  authors:
7
7
  - Taichi Ishitani
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2019-05-26 00:00:00.000000000 Z
11
+ date: 2019-07-26 00:00:00.000000000 Z
12
12
  dependencies:
13
13
  - !ruby/object:Gem::Dependency
14
- name: erubi
14
+ name: rggen-core
15
15
  requirement: !ruby/object:Gem::Requirement
16
16
  requirements:
17
- - - ">="
18
- - !ruby/object:Gem::Version
19
- version: '1.7'
20
- type: :runtime
21
- prerelease: false
22
- version_requirements: !ruby/object:Gem::Requirement
23
- requirements:
24
- - - ">="
25
- - !ruby/object:Gem::Version
26
- version: '1.7'
27
- - !ruby/object:Gem::Dependency
28
- name: facets
29
- requirement: !ruby/object:Gem::Requirement
30
- requirements:
31
- - - ">="
17
+ - - "~>"
32
18
  - !ruby/object:Gem::Version
33
- version: '3.0'
19
+ version: '0.9'
34
20
  type: :runtime
35
21
  prerelease: false
36
22
  version_requirements: !ruby/object:Gem::Requirement
37
23
  requirements:
38
- - - ">="
24
+ - - "~>"
39
25
  - !ruby/object:Gem::Version
40
- version: '3.0'
26
+ version: '0.9'
41
27
  - !ruby/object:Gem::Dependency
42
- name: roo
28
+ name: rggen-spreadsheet-loader
43
29
  requirement: !ruby/object:Gem::Requirement
44
30
  requirements:
45
- - - ">="
31
+ - - "~>"
46
32
  - !ruby/object:Gem::Version
47
- version: 2.1.1
33
+ version: '0.9'
48
34
  type: :runtime
49
35
  prerelease: false
50
36
  version_requirements: !ruby/object:Gem::Requirement
51
37
  requirements:
52
- - - ">="
38
+ - - "~>"
53
39
  - !ruby/object:Gem::Version
54
- version: 2.1.1
40
+ version: '0.9'
55
41
  - !ruby/object:Gem::Dependency
56
- name: spreadsheet
42
+ name: rggen-systemverilog
57
43
  requirement: !ruby/object:Gem::Requirement
58
44
  requirements:
59
- - - ">="
45
+ - - "~>"
60
46
  - !ruby/object:Gem::Version
61
- version: 1.0.3
47
+ version: '0.9'
62
48
  type: :runtime
63
49
  prerelease: false
64
50
  version_requirements: !ruby/object:Gem::Requirement
65
51
  requirements:
66
- - - ">="
67
- - !ruby/object:Gem::Version
68
- version: 1.0.3
69
- - !ruby/object:Gem::Dependency
70
- name: rake
71
- requirement: !ruby/object:Gem::Requirement
72
- requirements:
73
- - - ">="
74
- - !ruby/object:Gem::Version
75
- version: '10.0'
76
- type: :development
77
- prerelease: false
78
- version_requirements: !ruby/object:Gem::Requirement
79
- requirements:
80
- - - ">="
81
- - !ruby/object:Gem::Version
82
- version: '10.0'
83
- - !ruby/object:Gem::Dependency
84
- name: rspec
85
- requirement: !ruby/object:Gem::Requirement
86
- requirements:
87
- - - ">="
88
- - !ruby/object:Gem::Version
89
- version: '3.3'
90
- type: :development
91
- prerelease: false
92
- version_requirements: !ruby/object:Gem::Requirement
93
- requirements:
94
- - - ">="
52
+ - - "~>"
95
53
  - !ruby/object:Gem::Version
96
- version: '3.3'
54
+ version: '0.9'
97
55
  - !ruby/object:Gem::Dependency
98
- name: rubocop
56
+ name: bundler
99
57
  requirement: !ruby/object:Gem::Requirement
100
58
  requirements:
101
59
  - - ">="
102
60
  - !ruby/object:Gem::Version
103
- version: '0.35'
61
+ version: '0'
104
62
  type: :development
105
63
  prerelease: false
106
64
  version_requirements: !ruby/object:Gem::Requirement
107
65
  requirements:
108
66
  - - ">="
109
67
  - !ruby/object:Gem::Version
110
- version: '0.35'
111
- description: |2
112
- RgGen is a code generator tool for SoC/IP/FPGA/RTL engineers.
113
- It will automatically generate source code for control/status registers, e.g. RTL, UVM RAL model, C header file, from its register map document.
114
- Also RgGen is customizable so you can build your specific generate tool.
68
+ version: '0'
69
+ description: |
70
+ RgGen is a code generation tool for ASIC/IP/FPGA/RTL engineers.
71
+ It will automatically generate soruce code related to control/status registers (CSR), e.g. SytemVerilog RTL, UVM RAL model,
72
+ from human readable register map documents.
115
73
  email:
116
74
  - taichi730@gmail.com
117
- executables:
118
- - rggen
75
+ executables: []
119
76
  extensions: []
120
77
  extra_rdoc_files: []
121
78
  files:
122
79
  - CODE_OF_CONDUCT.md
123
- - LICENSE.txt
80
+ - LICENSE
124
81
  - README.md
125
- - bin/rggen
126
- - c_header/LICENSE
127
- - c_header/rggen.h
128
82
  - lib/rggen.rb
129
- - lib/rggen/base/component.rb
130
- - lib/rggen/base/component_factory.rb
131
- - lib/rggen/base/hierarchical_accessors.rb
132
- - lib/rggen/base/hierarchical_item_accessors.rb
133
- - lib/rggen/base/internal_struct.rb
134
- - lib/rggen/base/item.rb
135
- - lib/rggen/base/item_factory.rb
136
- - lib/rggen/builder/builder.rb
137
- - lib/rggen/builder/category.rb
138
- - lib/rggen/builder/component_entry.rb
139
- - lib/rggen/builder/component_store.rb
140
- - lib/rggen/builder/input_component_store.rb
141
- - lib/rggen/builder/item_store.rb
142
- - lib/rggen/builder/list_item_entry.rb
143
- - lib/rggen/builder/output_component_store.rb
144
- - lib/rggen/builder/simple_item_entry.rb
145
- - lib/rggen/builtins.rb
146
- - lib/rggen/builtins/bit_field/bit_assignment.rb
147
- - lib/rggen/builtins/bit_field/field_model.rb
148
- - lib/rggen/builtins/bit_field/initial_value.rb
149
- - lib/rggen/builtins/bit_field/name.rb
150
- - lib/rggen/builtins/bit_field/reference.rb
151
- - lib/rggen/builtins/bit_field/rtl_top.rb
152
- - lib/rggen/builtins/bit_field/type.rb
153
- - lib/rggen/builtins/bit_field/types/reserved.erb
154
- - lib/rggen/builtins/bit_field/types/reserved.rb
155
- - lib/rggen/builtins/bit_field/types/ro.erb
156
- - lib/rggen/builtins/bit_field/types/ro.rb
157
- - lib/rggen/builtins/bit_field/types/rw.erb
158
- - lib/rggen/builtins/bit_field/types/rw.rb
159
- - lib/rggen/builtins/bit_field/types/rwl_rwe.erb
160
- - lib/rggen/builtins/bit_field/types/rwl_rwe.rb
161
- - lib/rggen/builtins/bit_field/types/w0c_w1c.erb
162
- - lib/rggen/builtins/bit_field/types/w0c_w1c.rb
163
- - lib/rggen/builtins/bit_field/types/w0s_w1s.erb
164
- - lib/rggen/builtins/bit_field/types/w0s_w1s.rb
165
- - lib/rggen/builtins/bit_field/types/wo.rb
166
- - lib/rggen/builtins/global/address_width.rb
167
- - lib/rggen/builtins/global/array_port_format.rb
168
- - lib/rggen/builtins/global/data_width.rb
169
- - lib/rggen/builtins/global/unfold_sv_interface_port.rb
170
- - lib/rggen/builtins/loaders/configuration/json_loader.rb
171
- - lib/rggen/builtins/loaders/configuration/yaml_loader.rb
172
- - lib/rggen/builtins/loaders/register_map/csv_loader.rb
173
- - lib/rggen/builtins/loaders/register_map/xls_loader.rb
174
- - lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb
175
- - lib/rggen/builtins/register/array.rb
176
- - lib/rggen/builtins/register/constructor.rb
177
- - lib/rggen/builtins/register/field_model_creator.rb
178
- - lib/rggen/builtins/register/indirect_index_configurator.rb
179
- - lib/rggen/builtins/register/name.rb
180
- - lib/rggen/builtins/register/offset_address.rb
181
- - lib/rggen/builtins/register/reg_model.rb
182
- - lib/rggen/builtins/register/rtl_top.rb
183
- - lib/rggen/builtins/register/sub_block_model.rb
184
- - lib/rggen/builtins/register/type.rb
185
- - lib/rggen/builtins/register/types/default.erb
186
- - lib/rggen/builtins/register/types/external.erb
187
- - lib/rggen/builtins/register/types/external.rb
188
- - lib/rggen/builtins/register/types/indirect.erb
189
- - lib/rggen/builtins/register/types/indirect.rb
190
- - lib/rggen/builtins/register/uniqueness_validator.rb
191
- - lib/rggen/builtins/register_block/address_struct.rb
192
- - lib/rggen/builtins/register_block/base_address.rb
193
- - lib/rggen/builtins/register_block/block_model.rb
194
- - lib/rggen/builtins/register_block/byte_size.rb
195
- - lib/rggen/builtins/register_block/c_header_file.rb
196
- - lib/rggen/builtins/register_block/clock_reset.rb
197
- - lib/rggen/builtins/register_block/constructor.rb
198
- - lib/rggen/builtins/register_block/default_map_creator.rb
199
- - lib/rggen/builtins/register_block/host_if.rb
200
- - lib/rggen/builtins/register_block/host_ifs/apb.erb
201
- - lib/rggen/builtins/register_block/host_ifs/apb.rb
202
- - lib/rggen/builtins/register_block/host_ifs/axi4lite.erb
203
- - lib/rggen/builtins/register_block/host_ifs/axi4lite.rb
204
- - lib/rggen/builtins/register_block/name.rb
205
- - lib/rggen/builtins/register_block/ral_package.rb
206
- - lib/rggen/builtins/register_block/rtl_top.rb
207
- - lib/rggen/builtins/register_block/sub_model_creator.rb
208
- - lib/rggen/commands.rb
209
- - lib/rggen/core_components.rb
210
- - lib/rggen/core_components/c_header/item.rb
211
- - lib/rggen/core_components/c_header/setup.rb
212
- - lib/rggen/core_components/c_utility.rb
213
- - lib/rggen/core_components/c_utility/data_structure_definition.rb
214
- - lib/rggen/core_components/c_utility/source_file.rb
215
- - lib/rggen/core_components/c_utility/variable_declaration.rb
216
- - lib/rggen/core_components/code_utility.rb
217
- - lib/rggen/core_components/code_utility/code_block.rb
218
- - lib/rggen/core_components/code_utility/line.rb
219
- - lib/rggen/core_components/code_utility/source_file.rb
220
- - lib/rggen/core_components/configuration/configuration_factory.rb
221
- - lib/rggen/core_components/configuration/item.rb
222
- - lib/rggen/core_components/configuration/item_factory.rb
223
- - lib/rggen/core_components/configuration/raise_error.rb
224
- - lib/rggen/core_components/configuration/setup.rb
225
- - lib/rggen/core_components/erb_engine.rb
226
- - lib/rggen/core_components/ral/component.rb
227
- - lib/rggen/core_components/ral/item.rb
228
- - lib/rggen/core_components/ral/setup.rb
229
- - lib/rggen/core_components/register_map/bit_field_factory.rb
230
- - lib/rggen/core_components/register_map/component.rb
231
- - lib/rggen/core_components/register_map/component_factory.rb
232
- - lib/rggen/core_components/register_map/generic_map.rb
233
- - lib/rggen/core_components/register_map/item.rb
234
- - lib/rggen/core_components/register_map/item_factory.rb
235
- - lib/rggen/core_components/register_map/loader.rb
236
- - lib/rggen/core_components/register_map/raise_error.rb
237
- - lib/rggen/core_components/register_map/register_block_factory.rb
238
- - lib/rggen/core_components/register_map/register_factory.rb
239
- - lib/rggen/core_components/register_map/register_map_factory.rb
240
- - lib/rggen/core_components/register_map/setup.rb
241
- - lib/rggen/core_components/rtl/component.rb
242
- - lib/rggen/core_components/rtl/item.rb
243
- - lib/rggen/core_components/rtl/setup.rb
244
- - lib/rggen/core_components/verilog_utility.rb
245
- - lib/rggen/core_components/verilog_utility/class_definition.rb
246
- - lib/rggen/core_components/verilog_utility/identifier.rb
247
- - lib/rggen/core_components/verilog_utility/interface_instance.rb
248
- - lib/rggen/core_components/verilog_utility/interface_port.rb
249
- - lib/rggen/core_components/verilog_utility/local_scope.rb
250
- - lib/rggen/core_components/verilog_utility/module_definition.rb
251
- - lib/rggen/core_components/verilog_utility/package_definition.rb
252
- - lib/rggen/core_components/verilog_utility/source_file.rb
253
- - lib/rggen/core_components/verilog_utility/structure_definition.rb
254
- - lib/rggen/core_components/verilog_utility/subroutine_definition.rb
255
- - lib/rggen/core_components/verilog_utility/variable.rb
256
- - lib/rggen/core_extensions/array.rb
257
- - lib/rggen/core_extensions/facets.rb
258
- - lib/rggen/core_extensions/forwardable.rb
259
- - lib/rggen/core_extensions/integer.rb
260
- - lib/rggen/core_extensions/math.rb
261
- - lib/rggen/core_extensions/roo.rb
262
- - lib/rggen/exceptions.rb
263
- - lib/rggen/generator.rb
264
- - lib/rggen/input_base/component.rb
265
- - lib/rggen/input_base/component_factory.rb
266
- - lib/rggen/input_base/item.rb
267
- - lib/rggen/input_base/item_factory.rb
268
- - lib/rggen/input_base/loader.rb
269
- - lib/rggen/input_base/regexp_patterns.rb
270
- - lib/rggen/option_switches.rb
271
- - lib/rggen/options.rb
272
- - lib/rggen/output_base/code_generator.rb
273
- - lib/rggen/output_base/component.rb
274
- - lib/rggen/output_base/component_factory.rb
275
- - lib/rggen/output_base/file_writer.rb
276
- - lib/rggen/output_base/item.rb
277
- - lib/rggen/output_base/item_factory.rb
278
- - lib/rggen/output_base/template_engine.rb
279
- - lib/rggen/rggen_home.rb
83
+ - lib/rggen/built_in.rb
84
+ - lib/rggen/built_in/bit_field/bit_assignment.rb
85
+ - lib/rggen/built_in/bit_field/comment.rb
86
+ - lib/rggen/built_in/bit_field/initial_value.rb
87
+ - lib/rggen/built_in/bit_field/name.rb
88
+ - lib/rggen/built_in/bit_field/reference.rb
89
+ - lib/rggen/built_in/bit_field/sv_rtl_top.rb
90
+ - lib/rggen/built_in/bit_field/type.rb
91
+ - lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb
92
+ - lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb
93
+ - lib/rggen/built_in/bit_field/type/reserved.erb
94
+ - lib/rggen/built_in/bit_field/type/reserved.rb
95
+ - lib/rggen/built_in/bit_field/type/ro.erb
96
+ - lib/rggen/built_in/bit_field/type/ro.rb
97
+ - lib/rggen/built_in/bit_field/type/rof.erb
98
+ - lib/rggen/built_in/bit_field/type/rof.rb
99
+ - lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb
100
+ - lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb
101
+ - lib/rggen/built_in/bit_field/type/rw_wo.erb
102
+ - lib/rggen/built_in/bit_field/type/rw_wo.rb
103
+ - lib/rggen/built_in/bit_field/type/rwe_rwl.erb
104
+ - lib/rggen/built_in/bit_field/type/rwe_rwl.rb
105
+ - lib/rggen/built_in/global/address_width.rb
106
+ - lib/rggen/built_in/global/array_port_format.rb
107
+ - lib/rggen/built_in/global/bus_width.rb
108
+ - lib/rggen/built_in/global/fold_sv_interface_port.rb
109
+ - lib/rggen/built_in/register/name.rb
110
+ - lib/rggen/built_in/register/offset_address.rb
111
+ - lib/rggen/built_in/register/size.rb
112
+ - lib/rggen/built_in/register/sv_rtl_top.rb
113
+ - lib/rggen/built_in/register/type.rb
114
+ - lib/rggen/built_in/register/type/default_sv_ral.erb
115
+ - lib/rggen/built_in/register/type/default_sv_rtl.erb
116
+ - lib/rggen/built_in/register/type/external.erb
117
+ - lib/rggen/built_in/register/type/external.rb
118
+ - lib/rggen/built_in/register/type/indirect.rb
119
+ - lib/rggen/built_in/register/type/indirect_sv_ral.erb
120
+ - lib/rggen/built_in/register/type/indirect_sv_rtl.erb
121
+ - lib/rggen/built_in/register_block/byte_size.rb
122
+ - lib/rggen/built_in/register_block/name.rb
123
+ - lib/rggen/built_in/register_block/protocol.rb
124
+ - lib/rggen/built_in/register_block/protocol/apb.erb
125
+ - lib/rggen/built_in/register_block/protocol/apb.rb
126
+ - lib/rggen/built_in/register_block/protocol/axi4lite.erb
127
+ - lib/rggen/built_in/register_block/protocol/axi4lite.rb
128
+ - lib/rggen/built_in/register_block/sv_ral_block_model.erb
129
+ - lib/rggen/built_in/register_block/sv_ral_package.rb
130
+ - lib/rggen/built_in/register_block/sv_rtl_macros.erb
131
+ - lib/rggen/built_in/register_block/sv_rtl_top.rb
132
+ - lib/rggen/built_in/version.rb
133
+ - lib/rggen/default_setup_file.rb
134
+ - lib/rggen/setup/default.rb
280
135
  - lib/rggen/version.rb
281
- - ral/LICENSE
282
- - ral/compile.f
283
- - ral/rggen_ral_block.svh
284
- - ral/rggen_ral_field.svh
285
- - ral/rggen_ral_field_rwl_rwe.svh
286
- - ral/rggen_ral_indirect_reg.svh
287
- - ral/rggen_ral_macros.svh
288
- - ral/rggen_ral_map.svh
289
- - ral/rggen_ral_pkg.sv
290
- - ral/rggen_ral_reg.svh
291
- - rtl/LICENSE
292
- - rtl/compile.f
293
- - rtl/rggen_address_decoder.sv
294
- - rtl/rggen_apb_if.sv
295
- - rtl/rggen_axi4lite_if.sv
296
- - rtl/rggen_bit_field_if.sv
297
- - rtl/rggen_bit_field_ro.sv
298
- - rtl/rggen_bit_field_rw.sv
299
- - rtl/rggen_bit_field_rwl_rwe.sv
300
- - rtl/rggen_bit_field_w01s_w01c.sv
301
- - rtl/rggen_bus_if.sv
302
- - rtl/rggen_bus_splitter.sv
303
- - rtl/rggen_default_register.sv
304
- - rtl/rggen_external_register.sv
305
- - rtl/rggen_host_if_apb.sv
306
- - rtl/rggen_host_if_axi4lite.sv
307
- - rtl/rggen_indirect_register.sv
308
- - rtl/rggen_register_base.sv
309
- - rtl/rggen_register_if.sv
310
- - rtl/rggen_rtl_pkg.sv
311
- - sample/LICENSE
312
- - sample/sample.csv
313
- - sample/sample.json
314
- - sample/sample.xls
315
- - sample/sample.xlsx
316
- - sample/sample.yaml
317
- - sample/sample_0.h
318
- - sample/sample_0.sv
319
- - sample/sample_0_ral_pkg.sv
320
- - sample/sample_1.h
321
- - sample/sample_1.sv
322
- - sample/sample_1_ral_pkg.sv
323
- - sample/sample_setup.rb
324
- - setup/default.rb
325
- homepage: https://github.com/taichi-ishitani/rggen
136
+ - sample/block_0.rb
137
+ - sample/block_0.sv
138
+ - sample/block_0.xlsx
139
+ - sample/block_0.yml
140
+ - sample/block_0_ral_pkg.sv
141
+ - sample/block_1.rb
142
+ - sample/block_1.sv
143
+ - sample/block_1.xlsx
144
+ - sample/block_1.yml
145
+ - sample/block_1_ral_pkg.sv
146
+ - sample/config.json
147
+ - sample/config.yml
148
+ homepage: https://github.com/rggen/rggen
326
149
  licenses:
327
150
  - MIT
328
- metadata: {}
151
+ metadata:
152
+ bug_tracker_uri: https://github.com/rggen/rggen/issues
153
+ source_code_uri: https://github.com/rggen/rggen
154
+ wiki_uri: https://github.com/rggen/rggen/wiki
329
155
  post_install_message:
330
156
  rdoc_options: []
331
157
  require_paths:
@@ -344,5 +170,5 @@ requirements: []
344
170
  rubygems_version: 3.0.3
345
171
  signing_key:
346
172
  specification_version: 4
347
- summary: Code generation tool for control registers in a SoC design.
173
+ summary: Code generation tool for control/status registers
348
174
  test_files: []