rggen 0.8.2 → 0.9.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (269) hide show
  1. checksums.yaml +4 -4
  2. data/CODE_OF_CONDUCT.md +54 -29
  3. data/{LICENSE.txt → LICENSE} +1 -1
  4. data/README.md +65 -56
  5. data/lib/rggen.rb +4 -63
  6. data/lib/rggen/built_in.rb +53 -0
  7. data/lib/rggen/built_in/bit_field/bit_assignment.rb +108 -0
  8. data/lib/rggen/built_in/bit_field/comment.rb +16 -0
  9. data/lib/rggen/built_in/bit_field/initial_value.rb +45 -0
  10. data/lib/rggen/built_in/bit_field/name.rb +39 -0
  11. data/lib/rggen/built_in/bit_field/reference.rb +100 -0
  12. data/lib/rggen/built_in/bit_field/sv_rtl_top.rb +87 -0
  13. data/lib/rggen/built_in/bit_field/type.rb +279 -0
  14. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb +15 -0
  15. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb +68 -0
  16. data/lib/rggen/built_in/bit_field/type/reserved.erb +3 -0
  17. data/lib/rggen/built_in/bit_field/type/reserved.rb +16 -0
  18. data/lib/rggen/built_in/bit_field/type/ro.erb +6 -0
  19. data/lib/rggen/built_in/bit_field/type/ro.rb +34 -0
  20. data/lib/rggen/built_in/bit_field/type/rof.erb +6 -0
  21. data/lib/rggen/built_in/bit_field/type/rof.rb +17 -0
  22. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb +13 -0
  23. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb +52 -0
  24. data/lib/rggen/built_in/bit_field/type/rw_wo.erb +9 -0
  25. data/lib/rggen/built_in/bit_field/type/rw_wo.rb +33 -0
  26. data/lib/rggen/built_in/bit_field/type/rwe_rwl.erb +14 -0
  27. data/lib/rggen/built_in/bit_field/type/rwe_rwl.rb +39 -0
  28. data/lib/rggen/built_in/global/address_width.rb +32 -0
  29. data/lib/rggen/built_in/global/array_port_format.rb +19 -0
  30. data/lib/rggen/built_in/global/bus_width.rb +33 -0
  31. data/lib/rggen/built_in/global/fold_sv_interface_port.rb +24 -0
  32. data/lib/rggen/built_in/register/name.rb +34 -0
  33. data/lib/rggen/built_in/register/offset_address.rb +96 -0
  34. data/lib/rggen/built_in/register/size.rb +49 -0
  35. data/lib/rggen/built_in/register/sv_rtl_top.rb +82 -0
  36. data/lib/rggen/built_in/register/type.rb +374 -0
  37. data/lib/rggen/built_in/register/type/default_sv_ral.erb +8 -0
  38. data/lib/rggen/built_in/register/type/default_sv_rtl.erb +15 -0
  39. data/lib/rggen/built_in/register/type/external.erb +11 -0
  40. data/lib/rggen/built_in/register/type/external.rb +141 -0
  41. data/lib/rggen/built_in/register/type/indirect.rb +329 -0
  42. data/lib/rggen/built_in/register/type/indirect_sv_ral.erb +13 -0
  43. data/lib/rggen/built_in/register/type/indirect_sv_rtl.erb +17 -0
  44. data/lib/rggen/built_in/register_block/byte_size.rb +59 -0
  45. data/lib/rggen/built_in/register_block/name.rb +36 -0
  46. data/lib/rggen/built_in/register_block/protocol.rb +71 -0
  47. data/lib/rggen/built_in/register_block/protocol/apb.erb +10 -0
  48. data/lib/rggen/built_in/register_block/protocol/apb.rb +113 -0
  49. data/lib/rggen/built_in/register_block/protocol/axi4lite.erb +11 -0
  50. data/lib/rggen/built_in/register_block/protocol/axi4lite.rb +167 -0
  51. data/lib/rggen/built_in/register_block/sv_ral_block_model.erb +11 -0
  52. data/lib/rggen/built_in/register_block/sv_ral_package.rb +58 -0
  53. data/lib/rggen/built_in/register_block/sv_rtl_macros.erb +9 -0
  54. data/lib/rggen/built_in/register_block/sv_rtl_top.rb +87 -0
  55. data/lib/rggen/built_in/version.rb +7 -0
  56. data/lib/rggen/default_setup_file.rb +6 -0
  57. data/lib/rggen/setup/default.rb +26 -0
  58. data/lib/rggen/version.rb +5 -4
  59. data/sample/block_0.rb +85 -0
  60. data/sample/block_0.sv +601 -0
  61. data/sample/block_0.xlsx +0 -0
  62. data/sample/block_0.yml +94 -0
  63. data/sample/block_0_ral_pkg.sv +174 -0
  64. data/sample/block_1.rb +22 -0
  65. data/sample/block_1.sv +136 -0
  66. data/sample/block_1.xlsx +0 -0
  67. data/sample/block_1.yml +26 -0
  68. data/sample/block_1_ral_pkg.sv +68 -0
  69. data/sample/config.json +5 -0
  70. data/sample/config.yml +3 -0
  71. metadata +96 -270
  72. data/bin/rggen +0 -6
  73. data/c_header/LICENSE +0 -21
  74. data/c_header/rggen.h +0 -17
  75. data/lib/rggen/base/component.rb +0 -31
  76. data/lib/rggen/base/component_factory.rb +0 -53
  77. data/lib/rggen/base/hierarchical_accessors.rb +0 -87
  78. data/lib/rggen/base/hierarchical_item_accessors.rb +0 -79
  79. data/lib/rggen/base/internal_struct.rb +0 -28
  80. data/lib/rggen/base/item.rb +0 -35
  81. data/lib/rggen/base/item_factory.rb +0 -25
  82. data/lib/rggen/builder/builder.rb +0 -69
  83. data/lib/rggen/builder/category.rb +0 -63
  84. data/lib/rggen/builder/component_entry.rb +0 -50
  85. data/lib/rggen/builder/component_store.rb +0 -42
  86. data/lib/rggen/builder/input_component_store.rb +0 -25
  87. data/lib/rggen/builder/item_store.rb +0 -89
  88. data/lib/rggen/builder/list_item_entry.rb +0 -81
  89. data/lib/rggen/builder/output_component_store.rb +0 -13
  90. data/lib/rggen/builder/simple_item_entry.rb +0 -33
  91. data/lib/rggen/builtins.rb +0 -55
  92. data/lib/rggen/builtins/bit_field/bit_assignment.rb +0 -39
  93. data/lib/rggen/builtins/bit_field/field_model.rb +0 -38
  94. data/lib/rggen/builtins/bit_field/initial_value.rb +0 -36
  95. data/lib/rggen/builtins/bit_field/name.rb +0 -26
  96. data/lib/rggen/builtins/bit_field/reference.rb +0 -40
  97. data/lib/rggen/builtins/bit_field/rtl_top.rb +0 -11
  98. data/lib/rggen/builtins/bit_field/type.rb +0 -244
  99. data/lib/rggen/builtins/bit_field/types/reserved.erb +0 -6
  100. data/lib/rggen/builtins/bit_field/types/reserved.rb +0 -18
  101. data/lib/rggen/builtins/bit_field/types/ro.erb +0 -6
  102. data/lib/rggen/builtins/bit_field/types/ro.rb +0 -22
  103. data/lib/rggen/builtins/bit_field/types/rw.erb +0 -9
  104. data/lib/rggen/builtins/bit_field/types/rw.rb +0 -23
  105. data/lib/rggen/builtins/bit_field/types/rwl_rwe.erb +0 -11
  106. data/lib/rggen/builtins/bit_field/types/rwl_rwe.rb +0 -54
  107. data/lib/rggen/builtins/bit_field/types/w0c_w1c.erb +0 -12
  108. data/lib/rggen/builtins/bit_field/types/w0c_w1c.rb +0 -33
  109. data/lib/rggen/builtins/bit_field/types/w0s_w1s.erb +0 -12
  110. data/lib/rggen/builtins/bit_field/types/w0s_w1s.rb +0 -33
  111. data/lib/rggen/builtins/bit_field/types/wo.rb +0 -5
  112. data/lib/rggen/builtins/global/address_width.rb +0 -17
  113. data/lib/rggen/builtins/global/array_port_format.rb +0 -15
  114. data/lib/rggen/builtins/global/data_width.rb +0 -20
  115. data/lib/rggen/builtins/global/unfold_sv_interface_port.rb +0 -22
  116. data/lib/rggen/builtins/loaders/configuration/json_loader.rb +0 -7
  117. data/lib/rggen/builtins/loaders/configuration/yaml_loader.rb +0 -7
  118. data/lib/rggen/builtins/loaders/register_map/csv_loader.rb +0 -12
  119. data/lib/rggen/builtins/loaders/register_map/xls_loader.rb +0 -17
  120. data/lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb +0 -21
  121. data/lib/rggen/builtins/register/array.rb +0 -30
  122. data/lib/rggen/builtins/register/constructor.rb +0 -17
  123. data/lib/rggen/builtins/register/field_model_creator.rb +0 -14
  124. data/lib/rggen/builtins/register/indirect_index_configurator.rb +0 -54
  125. data/lib/rggen/builtins/register/name.rb +0 -26
  126. data/lib/rggen/builtins/register/offset_address.rb +0 -61
  127. data/lib/rggen/builtins/register/reg_model.rb +0 -107
  128. data/lib/rggen/builtins/register/rtl_top.rb +0 -68
  129. data/lib/rggen/builtins/register/sub_block_model.rb +0 -34
  130. data/lib/rggen/builtins/register/type.rb +0 -283
  131. data/lib/rggen/builtins/register/types/default.erb +0 -10
  132. data/lib/rggen/builtins/register/types/external.erb +0 -11
  133. data/lib/rggen/builtins/register/types/external.rb +0 -77
  134. data/lib/rggen/builtins/register/types/indirect.erb +0 -13
  135. data/lib/rggen/builtins/register/types/indirect.rb +0 -175
  136. data/lib/rggen/builtins/register/uniqueness_validator.rb +0 -51
  137. data/lib/rggen/builtins/register_block/address_struct.rb +0 -56
  138. data/lib/rggen/builtins/register_block/base_address.rb +0 -64
  139. data/lib/rggen/builtins/register_block/block_model.rb +0 -20
  140. data/lib/rggen/builtins/register_block/byte_size.rb +0 -37
  141. data/lib/rggen/builtins/register_block/c_header_file.rb +0 -15
  142. data/lib/rggen/builtins/register_block/clock_reset.rb +0 -8
  143. data/lib/rggen/builtins/register_block/constructor.rb +0 -14
  144. data/lib/rggen/builtins/register_block/default_map_creator.rb +0 -39
  145. data/lib/rggen/builtins/register_block/host_if.rb +0 -64
  146. data/lib/rggen/builtins/register_block/host_ifs/apb.erb +0 -10
  147. data/lib/rggen/builtins/register_block/host_ifs/apb.rb +0 -64
  148. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.erb +0 -11
  149. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.rb +0 -93
  150. data/lib/rggen/builtins/register_block/name.rb +0 -26
  151. data/lib/rggen/builtins/register_block/ral_package.rb +0 -24
  152. data/lib/rggen/builtins/register_block/rtl_top.rb +0 -38
  153. data/lib/rggen/builtins/register_block/sub_model_creator.rb +0 -14
  154. data/lib/rggen/commands.rb +0 -23
  155. data/lib/rggen/core_components.rb +0 -54
  156. data/lib/rggen/core_components/c_header/item.rb +0 -8
  157. data/lib/rggen/core_components/c_header/setup.rb +0 -19
  158. data/lib/rggen/core_components/c_utility.rb +0 -19
  159. data/lib/rggen/core_components/c_utility/data_structure_definition.rb +0 -60
  160. data/lib/rggen/core_components/c_utility/source_file.rb +0 -10
  161. data/lib/rggen/core_components/c_utility/variable_declaration.rb +0 -35
  162. data/lib/rggen/core_components/code_utility.rb +0 -56
  163. data/lib/rggen/core_components/code_utility/code_block.rb +0 -72
  164. data/lib/rggen/core_components/code_utility/line.rb +0 -28
  165. data/lib/rggen/core_components/code_utility/source_file.rb +0 -97
  166. data/lib/rggen/core_components/configuration/configuration_factory.rb +0 -23
  167. data/lib/rggen/core_components/configuration/item.rb +0 -11
  168. data/lib/rggen/core_components/configuration/item_factory.rb +0 -20
  169. data/lib/rggen/core_components/configuration/raise_error.rb +0 -11
  170. data/lib/rggen/core_components/configuration/setup.rb +0 -14
  171. data/lib/rggen/core_components/erb_engine.rb +0 -15
  172. data/lib/rggen/core_components/ral/component.rb +0 -24
  173. data/lib/rggen/core_components/ral/item.rb +0 -59
  174. data/lib/rggen/core_components/ral/setup.rb +0 -19
  175. data/lib/rggen/core_components/register_map/bit_field_factory.rb +0 -11
  176. data/lib/rggen/core_components/register_map/component.rb +0 -15
  177. data/lib/rggen/core_components/register_map/component_factory.rb +0 -9
  178. data/lib/rggen/core_components/register_map/generic_map.rb +0 -80
  179. data/lib/rggen/core_components/register_map/item.rb +0 -26
  180. data/lib/rggen/core_components/register_map/item_factory.rb +0 -26
  181. data/lib/rggen/core_components/register_map/loader.rb +0 -11
  182. data/lib/rggen/core_components/register_map/raise_error.rb +0 -17
  183. data/lib/rggen/core_components/register_map/register_block_factory.rb +0 -29
  184. data/lib/rggen/core_components/register_map/register_factory.rb +0 -18
  185. data/lib/rggen/core_components/register_map/register_map_factory.rb +0 -18
  186. data/lib/rggen/core_components/register_map/setup.rb +0 -33
  187. data/lib/rggen/core_components/rtl/component.rb +0 -24
  188. data/lib/rggen/core_components/rtl/item.rb +0 -82
  189. data/lib/rggen/core_components/rtl/setup.rb +0 -19
  190. data/lib/rggen/core_components/verilog_utility.rb +0 -88
  191. data/lib/rggen/core_components/verilog_utility/class_definition.rb +0 -56
  192. data/lib/rggen/core_components/verilog_utility/identifier.rb +0 -78
  193. data/lib/rggen/core_components/verilog_utility/interface_instance.rb +0 -37
  194. data/lib/rggen/core_components/verilog_utility/interface_port.rb +0 -33
  195. data/lib/rggen/core_components/verilog_utility/local_scope.rb +0 -75
  196. data/lib/rggen/core_components/verilog_utility/module_definition.rb +0 -82
  197. data/lib/rggen/core_components/verilog_utility/package_definition.rb +0 -57
  198. data/lib/rggen/core_components/verilog_utility/source_file.rb +0 -10
  199. data/lib/rggen/core_components/verilog_utility/structure_definition.rb +0 -51
  200. data/lib/rggen/core_components/verilog_utility/subroutine_definition.rb +0 -41
  201. data/lib/rggen/core_components/verilog_utility/variable.rb +0 -115
  202. data/lib/rggen/core_extensions/array.rb +0 -9
  203. data/lib/rggen/core_extensions/facets.rb +0 -22
  204. data/lib/rggen/core_extensions/forwardable.rb +0 -49
  205. data/lib/rggen/core_extensions/integer.rb +0 -5
  206. data/lib/rggen/core_extensions/math.rb +0 -7
  207. data/lib/rggen/core_extensions/roo.rb +0 -17
  208. data/lib/rggen/exceptions.rb +0 -28
  209. data/lib/rggen/generator.rb +0 -67
  210. data/lib/rggen/input_base/component.rb +0 -28
  211. data/lib/rggen/input_base/component_factory.rb +0 -58
  212. data/lib/rggen/input_base/item.rb +0 -171
  213. data/lib/rggen/input_base/item_factory.rb +0 -13
  214. data/lib/rggen/input_base/loader.rb +0 -23
  215. data/lib/rggen/input_base/regexp_patterns.rb +0 -29
  216. data/lib/rggen/option_switches.rb +0 -60
  217. data/lib/rggen/options.rb +0 -97
  218. data/lib/rggen/output_base/code_generator.rb +0 -36
  219. data/lib/rggen/output_base/component.rb +0 -78
  220. data/lib/rggen/output_base/component_factory.rb +0 -32
  221. data/lib/rggen/output_base/file_writer.rb +0 -36
  222. data/lib/rggen/output_base/item.rb +0 -110
  223. data/lib/rggen/output_base/item_factory.rb +0 -9
  224. data/lib/rggen/output_base/template_engine.rb +0 -24
  225. data/lib/rggen/rggen_home.rb +0 -3
  226. data/ral/LICENSE +0 -21
  227. data/ral/compile.f +0 -2
  228. data/ral/rggen_ral_block.svh +0 -83
  229. data/ral/rggen_ral_field.svh +0 -47
  230. data/ral/rggen_ral_field_rwl_rwe.svh +0 -158
  231. data/ral/rggen_ral_indirect_reg.svh +0 -193
  232. data/ral/rggen_ral_macros.svh +0 -27
  233. data/ral/rggen_ral_map.svh +0 -124
  234. data/ral/rggen_ral_pkg.sv +0 -15
  235. data/ral/rggen_ral_reg.svh +0 -88
  236. data/rtl/LICENSE +0 -21
  237. data/rtl/compile.f +0 -18
  238. data/rtl/rggen_address_decoder.sv +0 -23
  239. data/rtl/rggen_apb_if.sv +0 -41
  240. data/rtl/rggen_axi4lite_if.sv +0 -68
  241. data/rtl/rggen_bit_field_if.sv +0 -28
  242. data/rtl/rggen_bit_field_ro.sv +0 -9
  243. data/rtl/rggen_bit_field_rw.sv +0 -25
  244. data/rtl/rggen_bit_field_rwl_rwe.sv +0 -29
  245. data/rtl/rggen_bit_field_w01s_w01c.sv +0 -55
  246. data/rtl/rggen_bus_if.sv +0 -43
  247. data/rtl/rggen_bus_splitter.sv +0 -86
  248. data/rtl/rggen_default_register.sv +0 -15
  249. data/rtl/rggen_external_register.sv +0 -83
  250. data/rtl/rggen_host_if_apb.sv +0 -29
  251. data/rtl/rggen_host_if_axi4lite.sv +0 -161
  252. data/rtl/rggen_indirect_register.sv +0 -21
  253. data/rtl/rggen_register_base.sv +0 -57
  254. data/rtl/rggen_register_if.sv +0 -42
  255. data/rtl/rggen_rtl_pkg.sv +0 -23
  256. data/sample/LICENSE +0 -21
  257. data/sample/sample.csv +0 -21
  258. data/sample/sample.json +0 -6
  259. data/sample/sample.xls +0 -0
  260. data/sample/sample.xlsx +0 -0
  261. data/sample/sample.yaml +0 -4
  262. data/sample/sample_0.h +0 -17
  263. data/sample/sample_0.sv +0 -402
  264. data/sample/sample_0_ral_pkg.sv +0 -145
  265. data/sample/sample_1.h +0 -9
  266. data/sample/sample_1.sv +0 -128
  267. data/sample/sample_1_ral_pkg.sv +0 -56
  268. data/sample/sample_setup.rb +0 -24
  269. data/setup/default.rb +0 -14
@@ -1,9 +0,0 @@
1
- class Array
2
- def find_by(conditions)
3
- find do |i|
4
- conditions.all? do |key, value|
5
- i.respond_to?(key) && (i.__send__(key) == value)
6
- end
7
- end
8
- end
9
- end
@@ -1,22 +0,0 @@
1
- require 'facets/enumerable/exclude'
2
- require 'facets/enumerable/find_yield'
3
- require 'facets/enumerable/sum' if RUBY_VERSION < '2.4'
4
- require 'facets/file/ext'
5
- require 'facets/hash/symbolize_keys'
6
- require 'facets/integer/multiple'
7
- require 'facets/kernel/attr_singleton'
8
- require 'facets/kernel/not'
9
- require 'facets/kernel/not_nil'
10
- require 'facets/module/attr_class_accessor'
11
- require 'facets/module/attr_setter'
12
- require 'facets/module/lastname'
13
- require 'facets/numeric/positive'
14
- require 'facets/pathname/to_path'
15
- require 'facets/pathname/to_str'
16
- require 'facets/range/overlap'
17
- require 'facets/regexp/op_add'
18
- require 'facets/regexp/op_or'
19
- require 'facets/string/indent'
20
- require 'facets/string/quote'
21
- require 'facets/string/variablize'
22
- require 'facets/symbol/variablize'
@@ -1,49 +0,0 @@
1
- module Forwardable
2
- def def_class_delegator(method, ali = method)
3
- def_delegator('self.class', method, ali)
4
- end
5
-
6
- def def_class_delegators(*methods)
7
- def_instance_delegators('self.class', *methods)
8
- end
9
-
10
- alias_method :class_delegator , :def_class_delegator
11
- alias_method :class_delegators, :def_class_delegators
12
- end
13
-
14
- module SingleForwardable
15
- def def_object_delegator(target, method, ali = method)
16
- define_singleton_method(ali) do |*args, &block|
17
- target.__send__(method, *args, &block)
18
- end
19
- end
20
-
21
- def def_object_delegators(target, *methods)
22
- methods.each do |method|
23
- def_object_delegator(target, method)
24
- end
25
- end
26
-
27
- if ['2.3.1', '2.3.2', '2.3.3'].include?(RUBY_VERSION)
28
- # https://bugs.ruby-lang.org/issues/12478
29
- def def_single_delegator(accessor, method, ali = method)
30
- accessor = accessor.to_s
31
- if method_defined?(accessor) || private_method_defined?(accessor)
32
- accessor = "#{accessor}()"
33
- end if self.class === Module
34
-
35
- line_no = __LINE__; str = %{
36
- def #{ali}(*args, &block)
37
- begin
38
- #{accessor}.__send__(:#{method}, *args, &block)
39
- rescue ::Exception
40
- $@.delete_if{|s| ::Forwardable::FILE_REGEXP =~ s} unless ::Forwardable::debug
41
- ::Kernel::raise
42
- end
43
- end
44
- }
45
-
46
- instance_eval(str, __FILE__, line_no)
47
- end
48
- end
49
- end
@@ -1,5 +0,0 @@
1
- class Integer
2
- def pow2?
3
- positive? && (ord & pred).zero?
4
- end
5
- end
@@ -1,7 +0,0 @@
1
- module Math
2
- module_function
3
-
4
- def clog2(value)
5
- Math.log2(value).ceil
6
- end
7
- end
@@ -1,17 +0,0 @@
1
- module Roo
2
- module TableFormatter
3
- def to_table(sheet = default_sheet, **options)
4
- from_row = options[:from_row] || first_row(sheet)
5
- to_row = options[:to_row] || last_row(sheet)
6
- from_column = options[:from_column] || first_column(sheet)
7
- to_column = options[:to_column] || last_column(sheet)
8
- from_row.upto(to_row).map do |row|
9
- from_column.upto(to_column).map { |column| cell(row, column, sheet) }
10
- end
11
- end
12
- end
13
-
14
- class Base
15
- include TableFormatter
16
- end
17
- end
@@ -1,28 +0,0 @@
1
- module RgGen
2
- class RgGenError < StandardError
3
- end
4
-
5
- class BuilderError < RgGenError
6
- end
7
-
8
- class RuntimeError < RgGenError
9
- end
10
-
11
- class LoadError < RgGen::RuntimeError
12
- end
13
-
14
- class ConfigurationError < RgGen::RuntimeError
15
- end
16
-
17
- class RegisterMapError < RgGen::RuntimeError
18
- def initialize(message, position = nil)
19
- super(message)
20
- @position = position
21
- end
22
-
23
- def to_s
24
- return super.to_s unless @position
25
- "#{super.to_s} -- #{@position}"
26
- end
27
- end
28
- end
@@ -1,67 +0,0 @@
1
- module RgGen
2
- class Generator
3
- Context = Struct.new(:options, :configuration, :register_map)
4
-
5
- def run(argv)
6
- Context.new.tap do |context|
7
- parse_options(argv, context)
8
- load_setup(context)
9
- load_configuration(context)
10
- load_register_map(context, argv)
11
- write_files(context)
12
- end
13
- rescue RgGen::RuntimeError, OptionParser::ParseError => e
14
- abort "[#{e.class.lastname}] #{e.message}"
15
- end
16
-
17
- private
18
-
19
- def parse_options(argv, context)
20
- context.options = Options.parse(argv)
21
- end
22
-
23
- def load_setup(context)
24
- context.options[:setup].tap do |setup|
25
- File.exist?(setup) || (
26
- raise RgGen::LoadError, "cannot load such file -- #{setup}"
27
- )
28
- load(setup)
29
- end
30
- end
31
-
32
- def build_factory(component_name)
33
- RgGen.builder.build_factory(component_name)
34
- end
35
-
36
- def load_configuration(context)
37
- context.configuration =
38
- build_factory(:configuration).create(context.options[:configuration])
39
- end
40
-
41
- def load_register_map(context, argv)
42
- raise RgGen::LoadError, 'no register map is specified' if argv.empty?
43
- context.register_map =
44
- build_factory(:register_map).create(context.configuration, argv.first)
45
- end
46
-
47
- def write_files(context)
48
- file_generators(context).each do |generator|
49
- generator.write_file(context.options[:output])
50
- end unless context.options[:load_only]
51
- end
52
-
53
- def file_generators(context)
54
- available_output_components(context).map do |component|
55
- build_factory(component).create(
56
- context.configuration, context.register_map
57
- )
58
- end
59
- end
60
-
61
- def available_output_components(context)
62
- RgGen.builder.stored_output_components.reject do |component|
63
- context.options[:disable].include?(component)
64
- end
65
- end
66
- end
67
- end
@@ -1,28 +0,0 @@
1
- module RgGen
2
- module InputBase
3
- class Component < Base::Component
4
- def initialize(parent)
5
- super(parent)
6
- @need_children = true
7
- end
8
-
9
- def need_no_children
10
- @need_children = false
11
- end
12
-
13
- def add_item(item)
14
- super(item)
15
- def_object_delegators(@items.last, *item.fields)
16
- end
17
-
18
- def fields
19
- items.flat_map(&:fields)
20
- end
21
-
22
- def validate
23
- items.each(&:validate)
24
- children.each(&:validate)
25
- end
26
- end
27
- end
28
- end
@@ -1,58 +0,0 @@
1
- module RgGen
2
- module InputBase
3
- class ComponentFactory < Base::ComponentFactory
4
- attr_writer :loaders
5
-
6
- def create(*args)
7
- if @root_factory
8
- file = args.pop
9
- source = load(file)
10
- args << source
11
-
12
- component = super(*args)
13
- component.validate
14
- else
15
- component = super(*args)
16
- end
17
- component
18
- end
19
-
20
- private
21
-
22
- def create_items(component, *sources)
23
- create_active_items(component, sources.last)
24
- create_passive_items(component)
25
- end
26
-
27
- def create_passive_items(component)
28
- passive_item_factories.each do |factory|
29
- create_item(factory, component)
30
- end
31
- end
32
-
33
- def active_item_factories
34
- @item_factories.each_with_object({}) do |(name, factory), factories|
35
- factories[name] = factory if factory.active_item_factory?
36
- end
37
- end
38
-
39
- def passive_item_factories
40
- @item_factories.each_value.select(&:passive_item_factory?)
41
- end
42
-
43
- def load(file)
44
- load_file(file)
45
- end
46
-
47
- def load_file(file)
48
- find_loader(file).load(file)
49
- end
50
-
51
- def find_loader(file)
52
- @loaders.find { |l| l.acceptable?(file) } || (
53
- raise RgGen::LoadError, "unsupported file type -- #{file}"
54
- )
55
- end
56
- end
57
- end
58
- end
@@ -1,171 +0,0 @@
1
- module RgGen
2
- module InputBase
3
- class Item < Base::Item
4
- include RegxpPatterns
5
-
6
- class InputMatcher
7
- def initialize(pattern, options)
8
- @options = options
9
- @pattern =
10
- if @options.fetch(:match_wholly, true)
11
- /\A#{pattern}\z/
12
- else
13
- pattern
14
- end
15
- end
16
-
17
- attr_reader :match_data
18
-
19
- def match_automatically?
20
- @options.fetch(:match_automatically, true)
21
- end
22
-
23
- def match(rhs)
24
- rhs = rhs.to_s if @options[:convert_to_string]
25
- rhs = delete_blanks(rhs) if @options.fetch(:ignore_blank, true)
26
- @match_data =
27
- case rhs
28
- when @pattern
29
- Regexp.last_match
30
- end
31
- end
32
-
33
- private
34
-
35
- BLANK_REGEXP = [
36
- /\A[ \t]+/,
37
- /(?<=\w)[ \t]+(?=[[:punct:]])/,
38
- /(?<=[[:punct:]])[ \t]+(?=\w)/,
39
- /[ \t]+\z/
40
- ].inject(&:|).freeze
41
-
42
- def delete_blanks(rhs)
43
- return rhs unless rhs.respond_to?(:gsub)
44
- rhs.gsub(BLANK_REGEXP, '')
45
- end
46
- end
47
-
48
- define_helpers do
49
- attr_reader :builders
50
- attr_reader :validators
51
- attr_reader :input_matcher
52
-
53
- def field(field_name, options = {}, &body)
54
- return if fields.include?(field_name)
55
-
56
- define_method(field_name) do |*args, &block|
57
- field_method(field_name, options, body, args, block)
58
- end
59
-
60
- fields << field_name
61
- end
62
-
63
- def fields
64
- @fields ||= []
65
- end
66
-
67
- def build(&body)
68
- @builders ||= []
69
- @builders << body
70
- end
71
-
72
- def validate(&body)
73
- @validators ||= []
74
- @validators << body
75
- end
76
-
77
- def input_pattern(pattern, options = {})
78
- @input_matcher = InputMatcher.new(pattern, options)
79
- end
80
-
81
- def active_item?
82
- !passive_item?
83
- end
84
-
85
- def passive_item?
86
- @builders.nil? || @builders.empty?
87
- end
88
- end
89
-
90
- def self.inherited(subclass)
91
- super(subclass)
92
- [:@fields, :@builders, :@validators].each do |v|
93
- subclass.inherit_class_instance_variable(v, self, &:dup)
94
- end
95
- subclass.inherit_class_instance_variable(:@input_matcher, self)
96
- end
97
-
98
- class_delegator :fields
99
- class_delegator :builders
100
- class_delegator :validators
101
- class_delegator :input_matcher
102
-
103
- def build(*sources)
104
- return unless builders
105
- pattern_match(sources.last) if match_automatically?
106
- builders.each do |builder|
107
- instance_exec(*sources, &builder)
108
- end
109
- end
110
-
111
- def validate
112
- return if @validated
113
- return unless validators
114
- validators.each do |validator|
115
- instance_exec(&validator)
116
- end
117
- @validated = true
118
- end
119
-
120
- private
121
-
122
- def pattern_match(rhs)
123
- input_matcher && input_matcher.match(rhs)
124
- end
125
-
126
- def match_data
127
- input_matcher && input_matcher.match_data
128
- end
129
-
130
- def pattern_matched?
131
- match_data.not_nil?
132
- end
133
-
134
- def captures
135
- match_data && match_data.captures
136
- end
137
-
138
- def match_automatically?
139
- input_matcher && input_matcher.match_automatically?
140
- end
141
-
142
- def field_method(field_name, options, body, args, block)
143
- validate if options[:need_validation]
144
- if body
145
- instance_exec(*args, &body)
146
- elsif options[:forward_to_helper]
147
- self.class.__send__(field_name, *args, &block)
148
- elsif options.key?(:forward_to)
149
- __send__(options[:forward_to], *args, &block)
150
- else
151
- default_field_method(field_name, options[:default])
152
- end
153
- end
154
-
155
- def default_field_method(field_name, default_value)
156
- variable_name =
157
- if field_name =~ /\A([a-zA-Z0-9]\w*)\?\z/
158
- Regexp.last_match[1].variablize
159
- else
160
- field_name.variablize
161
- end
162
-
163
- if instance_variable_defined?(variable_name)
164
- instance_variable_get(variable_name)
165
- else
166
- default_value
167
- end
168
- end
169
- end
170
- end
171
- end