rggen 0.8.2 → 0.9.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (269) hide show
  1. checksums.yaml +4 -4
  2. data/CODE_OF_CONDUCT.md +54 -29
  3. data/{LICENSE.txt → LICENSE} +1 -1
  4. data/README.md +65 -56
  5. data/lib/rggen.rb +4 -63
  6. data/lib/rggen/built_in.rb +53 -0
  7. data/lib/rggen/built_in/bit_field/bit_assignment.rb +108 -0
  8. data/lib/rggen/built_in/bit_field/comment.rb +16 -0
  9. data/lib/rggen/built_in/bit_field/initial_value.rb +45 -0
  10. data/lib/rggen/built_in/bit_field/name.rb +39 -0
  11. data/lib/rggen/built_in/bit_field/reference.rb +100 -0
  12. data/lib/rggen/built_in/bit_field/sv_rtl_top.rb +87 -0
  13. data/lib/rggen/built_in/bit_field/type.rb +279 -0
  14. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb +15 -0
  15. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb +68 -0
  16. data/lib/rggen/built_in/bit_field/type/reserved.erb +3 -0
  17. data/lib/rggen/built_in/bit_field/type/reserved.rb +16 -0
  18. data/lib/rggen/built_in/bit_field/type/ro.erb +6 -0
  19. data/lib/rggen/built_in/bit_field/type/ro.rb +34 -0
  20. data/lib/rggen/built_in/bit_field/type/rof.erb +6 -0
  21. data/lib/rggen/built_in/bit_field/type/rof.rb +17 -0
  22. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb +13 -0
  23. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb +52 -0
  24. data/lib/rggen/built_in/bit_field/type/rw_wo.erb +9 -0
  25. data/lib/rggen/built_in/bit_field/type/rw_wo.rb +33 -0
  26. data/lib/rggen/built_in/bit_field/type/rwe_rwl.erb +14 -0
  27. data/lib/rggen/built_in/bit_field/type/rwe_rwl.rb +39 -0
  28. data/lib/rggen/built_in/global/address_width.rb +32 -0
  29. data/lib/rggen/built_in/global/array_port_format.rb +19 -0
  30. data/lib/rggen/built_in/global/bus_width.rb +33 -0
  31. data/lib/rggen/built_in/global/fold_sv_interface_port.rb +24 -0
  32. data/lib/rggen/built_in/register/name.rb +34 -0
  33. data/lib/rggen/built_in/register/offset_address.rb +96 -0
  34. data/lib/rggen/built_in/register/size.rb +49 -0
  35. data/lib/rggen/built_in/register/sv_rtl_top.rb +82 -0
  36. data/lib/rggen/built_in/register/type.rb +374 -0
  37. data/lib/rggen/built_in/register/type/default_sv_ral.erb +8 -0
  38. data/lib/rggen/built_in/register/type/default_sv_rtl.erb +15 -0
  39. data/lib/rggen/built_in/register/type/external.erb +11 -0
  40. data/lib/rggen/built_in/register/type/external.rb +141 -0
  41. data/lib/rggen/built_in/register/type/indirect.rb +329 -0
  42. data/lib/rggen/built_in/register/type/indirect_sv_ral.erb +13 -0
  43. data/lib/rggen/built_in/register/type/indirect_sv_rtl.erb +17 -0
  44. data/lib/rggen/built_in/register_block/byte_size.rb +59 -0
  45. data/lib/rggen/built_in/register_block/name.rb +36 -0
  46. data/lib/rggen/built_in/register_block/protocol.rb +71 -0
  47. data/lib/rggen/built_in/register_block/protocol/apb.erb +10 -0
  48. data/lib/rggen/built_in/register_block/protocol/apb.rb +113 -0
  49. data/lib/rggen/built_in/register_block/protocol/axi4lite.erb +11 -0
  50. data/lib/rggen/built_in/register_block/protocol/axi4lite.rb +167 -0
  51. data/lib/rggen/built_in/register_block/sv_ral_block_model.erb +11 -0
  52. data/lib/rggen/built_in/register_block/sv_ral_package.rb +58 -0
  53. data/lib/rggen/built_in/register_block/sv_rtl_macros.erb +9 -0
  54. data/lib/rggen/built_in/register_block/sv_rtl_top.rb +87 -0
  55. data/lib/rggen/built_in/version.rb +7 -0
  56. data/lib/rggen/default_setup_file.rb +6 -0
  57. data/lib/rggen/setup/default.rb +26 -0
  58. data/lib/rggen/version.rb +5 -4
  59. data/sample/block_0.rb +85 -0
  60. data/sample/block_0.sv +601 -0
  61. data/sample/block_0.xlsx +0 -0
  62. data/sample/block_0.yml +94 -0
  63. data/sample/block_0_ral_pkg.sv +174 -0
  64. data/sample/block_1.rb +22 -0
  65. data/sample/block_1.sv +136 -0
  66. data/sample/block_1.xlsx +0 -0
  67. data/sample/block_1.yml +26 -0
  68. data/sample/block_1_ral_pkg.sv +68 -0
  69. data/sample/config.json +5 -0
  70. data/sample/config.yml +3 -0
  71. metadata +96 -270
  72. data/bin/rggen +0 -6
  73. data/c_header/LICENSE +0 -21
  74. data/c_header/rggen.h +0 -17
  75. data/lib/rggen/base/component.rb +0 -31
  76. data/lib/rggen/base/component_factory.rb +0 -53
  77. data/lib/rggen/base/hierarchical_accessors.rb +0 -87
  78. data/lib/rggen/base/hierarchical_item_accessors.rb +0 -79
  79. data/lib/rggen/base/internal_struct.rb +0 -28
  80. data/lib/rggen/base/item.rb +0 -35
  81. data/lib/rggen/base/item_factory.rb +0 -25
  82. data/lib/rggen/builder/builder.rb +0 -69
  83. data/lib/rggen/builder/category.rb +0 -63
  84. data/lib/rggen/builder/component_entry.rb +0 -50
  85. data/lib/rggen/builder/component_store.rb +0 -42
  86. data/lib/rggen/builder/input_component_store.rb +0 -25
  87. data/lib/rggen/builder/item_store.rb +0 -89
  88. data/lib/rggen/builder/list_item_entry.rb +0 -81
  89. data/lib/rggen/builder/output_component_store.rb +0 -13
  90. data/lib/rggen/builder/simple_item_entry.rb +0 -33
  91. data/lib/rggen/builtins.rb +0 -55
  92. data/lib/rggen/builtins/bit_field/bit_assignment.rb +0 -39
  93. data/lib/rggen/builtins/bit_field/field_model.rb +0 -38
  94. data/lib/rggen/builtins/bit_field/initial_value.rb +0 -36
  95. data/lib/rggen/builtins/bit_field/name.rb +0 -26
  96. data/lib/rggen/builtins/bit_field/reference.rb +0 -40
  97. data/lib/rggen/builtins/bit_field/rtl_top.rb +0 -11
  98. data/lib/rggen/builtins/bit_field/type.rb +0 -244
  99. data/lib/rggen/builtins/bit_field/types/reserved.erb +0 -6
  100. data/lib/rggen/builtins/bit_field/types/reserved.rb +0 -18
  101. data/lib/rggen/builtins/bit_field/types/ro.erb +0 -6
  102. data/lib/rggen/builtins/bit_field/types/ro.rb +0 -22
  103. data/lib/rggen/builtins/bit_field/types/rw.erb +0 -9
  104. data/lib/rggen/builtins/bit_field/types/rw.rb +0 -23
  105. data/lib/rggen/builtins/bit_field/types/rwl_rwe.erb +0 -11
  106. data/lib/rggen/builtins/bit_field/types/rwl_rwe.rb +0 -54
  107. data/lib/rggen/builtins/bit_field/types/w0c_w1c.erb +0 -12
  108. data/lib/rggen/builtins/bit_field/types/w0c_w1c.rb +0 -33
  109. data/lib/rggen/builtins/bit_field/types/w0s_w1s.erb +0 -12
  110. data/lib/rggen/builtins/bit_field/types/w0s_w1s.rb +0 -33
  111. data/lib/rggen/builtins/bit_field/types/wo.rb +0 -5
  112. data/lib/rggen/builtins/global/address_width.rb +0 -17
  113. data/lib/rggen/builtins/global/array_port_format.rb +0 -15
  114. data/lib/rggen/builtins/global/data_width.rb +0 -20
  115. data/lib/rggen/builtins/global/unfold_sv_interface_port.rb +0 -22
  116. data/lib/rggen/builtins/loaders/configuration/json_loader.rb +0 -7
  117. data/lib/rggen/builtins/loaders/configuration/yaml_loader.rb +0 -7
  118. data/lib/rggen/builtins/loaders/register_map/csv_loader.rb +0 -12
  119. data/lib/rggen/builtins/loaders/register_map/xls_loader.rb +0 -17
  120. data/lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb +0 -21
  121. data/lib/rggen/builtins/register/array.rb +0 -30
  122. data/lib/rggen/builtins/register/constructor.rb +0 -17
  123. data/lib/rggen/builtins/register/field_model_creator.rb +0 -14
  124. data/lib/rggen/builtins/register/indirect_index_configurator.rb +0 -54
  125. data/lib/rggen/builtins/register/name.rb +0 -26
  126. data/lib/rggen/builtins/register/offset_address.rb +0 -61
  127. data/lib/rggen/builtins/register/reg_model.rb +0 -107
  128. data/lib/rggen/builtins/register/rtl_top.rb +0 -68
  129. data/lib/rggen/builtins/register/sub_block_model.rb +0 -34
  130. data/lib/rggen/builtins/register/type.rb +0 -283
  131. data/lib/rggen/builtins/register/types/default.erb +0 -10
  132. data/lib/rggen/builtins/register/types/external.erb +0 -11
  133. data/lib/rggen/builtins/register/types/external.rb +0 -77
  134. data/lib/rggen/builtins/register/types/indirect.erb +0 -13
  135. data/lib/rggen/builtins/register/types/indirect.rb +0 -175
  136. data/lib/rggen/builtins/register/uniqueness_validator.rb +0 -51
  137. data/lib/rggen/builtins/register_block/address_struct.rb +0 -56
  138. data/lib/rggen/builtins/register_block/base_address.rb +0 -64
  139. data/lib/rggen/builtins/register_block/block_model.rb +0 -20
  140. data/lib/rggen/builtins/register_block/byte_size.rb +0 -37
  141. data/lib/rggen/builtins/register_block/c_header_file.rb +0 -15
  142. data/lib/rggen/builtins/register_block/clock_reset.rb +0 -8
  143. data/lib/rggen/builtins/register_block/constructor.rb +0 -14
  144. data/lib/rggen/builtins/register_block/default_map_creator.rb +0 -39
  145. data/lib/rggen/builtins/register_block/host_if.rb +0 -64
  146. data/lib/rggen/builtins/register_block/host_ifs/apb.erb +0 -10
  147. data/lib/rggen/builtins/register_block/host_ifs/apb.rb +0 -64
  148. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.erb +0 -11
  149. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.rb +0 -93
  150. data/lib/rggen/builtins/register_block/name.rb +0 -26
  151. data/lib/rggen/builtins/register_block/ral_package.rb +0 -24
  152. data/lib/rggen/builtins/register_block/rtl_top.rb +0 -38
  153. data/lib/rggen/builtins/register_block/sub_model_creator.rb +0 -14
  154. data/lib/rggen/commands.rb +0 -23
  155. data/lib/rggen/core_components.rb +0 -54
  156. data/lib/rggen/core_components/c_header/item.rb +0 -8
  157. data/lib/rggen/core_components/c_header/setup.rb +0 -19
  158. data/lib/rggen/core_components/c_utility.rb +0 -19
  159. data/lib/rggen/core_components/c_utility/data_structure_definition.rb +0 -60
  160. data/lib/rggen/core_components/c_utility/source_file.rb +0 -10
  161. data/lib/rggen/core_components/c_utility/variable_declaration.rb +0 -35
  162. data/lib/rggen/core_components/code_utility.rb +0 -56
  163. data/lib/rggen/core_components/code_utility/code_block.rb +0 -72
  164. data/lib/rggen/core_components/code_utility/line.rb +0 -28
  165. data/lib/rggen/core_components/code_utility/source_file.rb +0 -97
  166. data/lib/rggen/core_components/configuration/configuration_factory.rb +0 -23
  167. data/lib/rggen/core_components/configuration/item.rb +0 -11
  168. data/lib/rggen/core_components/configuration/item_factory.rb +0 -20
  169. data/lib/rggen/core_components/configuration/raise_error.rb +0 -11
  170. data/lib/rggen/core_components/configuration/setup.rb +0 -14
  171. data/lib/rggen/core_components/erb_engine.rb +0 -15
  172. data/lib/rggen/core_components/ral/component.rb +0 -24
  173. data/lib/rggen/core_components/ral/item.rb +0 -59
  174. data/lib/rggen/core_components/ral/setup.rb +0 -19
  175. data/lib/rggen/core_components/register_map/bit_field_factory.rb +0 -11
  176. data/lib/rggen/core_components/register_map/component.rb +0 -15
  177. data/lib/rggen/core_components/register_map/component_factory.rb +0 -9
  178. data/lib/rggen/core_components/register_map/generic_map.rb +0 -80
  179. data/lib/rggen/core_components/register_map/item.rb +0 -26
  180. data/lib/rggen/core_components/register_map/item_factory.rb +0 -26
  181. data/lib/rggen/core_components/register_map/loader.rb +0 -11
  182. data/lib/rggen/core_components/register_map/raise_error.rb +0 -17
  183. data/lib/rggen/core_components/register_map/register_block_factory.rb +0 -29
  184. data/lib/rggen/core_components/register_map/register_factory.rb +0 -18
  185. data/lib/rggen/core_components/register_map/register_map_factory.rb +0 -18
  186. data/lib/rggen/core_components/register_map/setup.rb +0 -33
  187. data/lib/rggen/core_components/rtl/component.rb +0 -24
  188. data/lib/rggen/core_components/rtl/item.rb +0 -82
  189. data/lib/rggen/core_components/rtl/setup.rb +0 -19
  190. data/lib/rggen/core_components/verilog_utility.rb +0 -88
  191. data/lib/rggen/core_components/verilog_utility/class_definition.rb +0 -56
  192. data/lib/rggen/core_components/verilog_utility/identifier.rb +0 -78
  193. data/lib/rggen/core_components/verilog_utility/interface_instance.rb +0 -37
  194. data/lib/rggen/core_components/verilog_utility/interface_port.rb +0 -33
  195. data/lib/rggen/core_components/verilog_utility/local_scope.rb +0 -75
  196. data/lib/rggen/core_components/verilog_utility/module_definition.rb +0 -82
  197. data/lib/rggen/core_components/verilog_utility/package_definition.rb +0 -57
  198. data/lib/rggen/core_components/verilog_utility/source_file.rb +0 -10
  199. data/lib/rggen/core_components/verilog_utility/structure_definition.rb +0 -51
  200. data/lib/rggen/core_components/verilog_utility/subroutine_definition.rb +0 -41
  201. data/lib/rggen/core_components/verilog_utility/variable.rb +0 -115
  202. data/lib/rggen/core_extensions/array.rb +0 -9
  203. data/lib/rggen/core_extensions/facets.rb +0 -22
  204. data/lib/rggen/core_extensions/forwardable.rb +0 -49
  205. data/lib/rggen/core_extensions/integer.rb +0 -5
  206. data/lib/rggen/core_extensions/math.rb +0 -7
  207. data/lib/rggen/core_extensions/roo.rb +0 -17
  208. data/lib/rggen/exceptions.rb +0 -28
  209. data/lib/rggen/generator.rb +0 -67
  210. data/lib/rggen/input_base/component.rb +0 -28
  211. data/lib/rggen/input_base/component_factory.rb +0 -58
  212. data/lib/rggen/input_base/item.rb +0 -171
  213. data/lib/rggen/input_base/item_factory.rb +0 -13
  214. data/lib/rggen/input_base/loader.rb +0 -23
  215. data/lib/rggen/input_base/regexp_patterns.rb +0 -29
  216. data/lib/rggen/option_switches.rb +0 -60
  217. data/lib/rggen/options.rb +0 -97
  218. data/lib/rggen/output_base/code_generator.rb +0 -36
  219. data/lib/rggen/output_base/component.rb +0 -78
  220. data/lib/rggen/output_base/component_factory.rb +0 -32
  221. data/lib/rggen/output_base/file_writer.rb +0 -36
  222. data/lib/rggen/output_base/item.rb +0 -110
  223. data/lib/rggen/output_base/item_factory.rb +0 -9
  224. data/lib/rggen/output_base/template_engine.rb +0 -24
  225. data/lib/rggen/rggen_home.rb +0 -3
  226. data/ral/LICENSE +0 -21
  227. data/ral/compile.f +0 -2
  228. data/ral/rggen_ral_block.svh +0 -83
  229. data/ral/rggen_ral_field.svh +0 -47
  230. data/ral/rggen_ral_field_rwl_rwe.svh +0 -158
  231. data/ral/rggen_ral_indirect_reg.svh +0 -193
  232. data/ral/rggen_ral_macros.svh +0 -27
  233. data/ral/rggen_ral_map.svh +0 -124
  234. data/ral/rggen_ral_pkg.sv +0 -15
  235. data/ral/rggen_ral_reg.svh +0 -88
  236. data/rtl/LICENSE +0 -21
  237. data/rtl/compile.f +0 -18
  238. data/rtl/rggen_address_decoder.sv +0 -23
  239. data/rtl/rggen_apb_if.sv +0 -41
  240. data/rtl/rggen_axi4lite_if.sv +0 -68
  241. data/rtl/rggen_bit_field_if.sv +0 -28
  242. data/rtl/rggen_bit_field_ro.sv +0 -9
  243. data/rtl/rggen_bit_field_rw.sv +0 -25
  244. data/rtl/rggen_bit_field_rwl_rwe.sv +0 -29
  245. data/rtl/rggen_bit_field_w01s_w01c.sv +0 -55
  246. data/rtl/rggen_bus_if.sv +0 -43
  247. data/rtl/rggen_bus_splitter.sv +0 -86
  248. data/rtl/rggen_default_register.sv +0 -15
  249. data/rtl/rggen_external_register.sv +0 -83
  250. data/rtl/rggen_host_if_apb.sv +0 -29
  251. data/rtl/rggen_host_if_axi4lite.sv +0 -161
  252. data/rtl/rggen_indirect_register.sv +0 -21
  253. data/rtl/rggen_register_base.sv +0 -57
  254. data/rtl/rggen_register_if.sv +0 -42
  255. data/rtl/rggen_rtl_pkg.sv +0 -23
  256. data/sample/LICENSE +0 -21
  257. data/sample/sample.csv +0 -21
  258. data/sample/sample.json +0 -6
  259. data/sample/sample.xls +0 -0
  260. data/sample/sample.xlsx +0 -0
  261. data/sample/sample.yaml +0 -4
  262. data/sample/sample_0.h +0 -17
  263. data/sample/sample_0.sv +0 -402
  264. data/sample/sample_0_ral_pkg.sv +0 -145
  265. data/sample/sample_1.h +0 -9
  266. data/sample/sample_1.sv +0 -128
  267. data/sample/sample_1_ral_pkg.sv +0 -56
  268. data/sample/sample_setup.rb +0 -24
  269. data/setup/default.rb +0 -14
@@ -0,0 +1,15 @@
1
+ <%= module_name %> #(
2
+ <% if [:w0c, :w1c].include?(bit_field.type) %>
3
+ .CLEAR_VALUE (<%= clear_value %>),
4
+ <% end %>
5
+ .WIDTH (<%= bit_field.width %>),
6
+ .INITIAL_VALUE (<%= initial_value %>)
7
+ ) u_bit_field (
8
+ .i_clk (<%= register_block.clock %>),
9
+ .i_rst_n (<%= register_block.reset%>),
10
+ .bit_field_if (<%= bit_field.bit_field_sub_if %>),
11
+ .i_set (<%= set[bit_field.loop_variables] %>),
12
+ .i_mask (<%= mask %>),
13
+ .o_value (<%= value_out[bit_field.loop_variables] %>),
14
+ .o_value_unmasked (<%= value_out_unmasked %>)
15
+ );
@@ -0,0 +1,68 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:bit_field, :type, :rc) do
4
+ register_map do
5
+ read_only
6
+ use_reference
7
+ need_initial_value
8
+ end
9
+ end
10
+
11
+ RgGen.define_list_item_feature(:bit_field, :type, [:w0c, :w1c]) do
12
+ register_map do
13
+ read_write
14
+ use_reference
15
+ need_initial_value
16
+ end
17
+ end
18
+
19
+ RgGen.define_list_item_feature(:bit_field, :type, [:rc, :w0c, :w1c]) do
20
+ sv_rtl do
21
+ build do
22
+ input :register_block, :set, {
23
+ name: "i_#{full_name}_set",
24
+ data_type: :logic,
25
+ width: bit_field.width,
26
+ array_size: bit_field.array_size,
27
+ array_format: array_port_format
28
+ }
29
+ output :register_block, :value_out, {
30
+ name: "o_#{full_name}",
31
+ data_type: :logic,
32
+ width: bit_field.width,
33
+ array_size: bit_field.array_size,
34
+ array_format: array_port_format
35
+ }
36
+ if bit_field.reference?
37
+ output :register_block, :value_unmasked, {
38
+ name: "o_#{full_name}_unmasked",
39
+ data_type: :logic,
40
+ width: bit_field.width,
41
+ array_size: bit_field.array_size,
42
+ array_format: array_port_format
43
+ }
44
+ end
45
+ end
46
+
47
+ main_code :bit_field, from_template: true
48
+
49
+ private
50
+
51
+ def module_name
52
+ if bit_field.type == :rc
53
+ 'rggen_bit_field_rc'
54
+ else
55
+ 'rggen_bit_field_w01c'
56
+ end
57
+ end
58
+
59
+ def clear_value
60
+ bin({ w0c: 0, w1c: 1 }[bit_field.type], 1)
61
+ end
62
+
63
+ def value_out_unmasked
64
+ (bit_field.reference? || nil) &&
65
+ value_unmasked[bit_field.loop_variables]
66
+ end
67
+ end
68
+ end
@@ -0,0 +1,3 @@
1
+ rggen_bit_field_reserved u_bit_field (
2
+ .bit_field_if (<%= bit_field.bit_field_sub_if %>)
3
+ );
@@ -0,0 +1,16 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:bit_field, :type, :reserved) do
4
+ register_map do
5
+ reserved
6
+ non_volatile
7
+ end
8
+
9
+ sv_rtl do
10
+ main_code :bit_field, from_template: true
11
+ end
12
+
13
+ sv_ral do
14
+ access 'RO'
15
+ end
16
+ end
@@ -0,0 +1,6 @@
1
+ rggen_bit_field_ro #(
2
+ .WIDTH (<%= bit_field.width %>)
3
+ ) u_bit_field (
4
+ .bit_field_if (<%= bit_field.bit_field_sub_if %>),
5
+ .i_value (<%= reference_or_value_in %>)
6
+ );
@@ -0,0 +1,34 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:bit_field, :type, :ro) do
4
+ register_map do
5
+ read_only
6
+ use_reference
7
+ end
8
+
9
+ sv_rtl do
10
+ build do
11
+ unless bit_field.reference?
12
+ input :register_block, :value_in, {
13
+ name: "i_#{full_name}",
14
+ data_type: :logic,
15
+ width: bit_field.width,
16
+ array_size: bit_field.array_size,
17
+ array_format: array_port_format
18
+ }
19
+ end
20
+ end
21
+
22
+ main_code :bit_field, from_template: true
23
+
24
+ private
25
+
26
+ def reference_or_value_in
27
+ if bit_field.reference?
28
+ reference_bit_field
29
+ else
30
+ value_in[bit_field.loop_variables]
31
+ end
32
+ end
33
+ end
34
+ end
@@ -0,0 +1,6 @@
1
+ rggen_bit_field_ro #(
2
+ .WIDTH (<%= bit_field.width %>)
3
+ ) u_bit_field (
4
+ .bit_field_if (<%= bit_field.bit_field_sub_if %>),
5
+ .i_value (<%= initial_value %>)
6
+ );
@@ -0,0 +1,17 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:bit_field, :type, :rof) do
4
+ register_map do
5
+ read_only
6
+ non_volatile
7
+ need_initial_value
8
+ end
9
+
10
+ sv_rtl do
11
+ main_code :bit_field, from_template: true
12
+ end
13
+
14
+ sv_ral do
15
+ access 'RO'
16
+ end
17
+ end
@@ -0,0 +1,13 @@
1
+ <%= module_name %> #(
2
+ <% if [:w0s, :w1s].include?(bit_field.type) %>
3
+ .SET_VALUE (<%= set_value %>),
4
+ <% end %>
5
+ .WIDTH (<%= bit_field.width %>),
6
+ .INITIAL_VALUE (<%= initial_value %>)
7
+ ) u_bit_field (
8
+ .i_clk (<%= register_block.clock %>),
9
+ .i_rst_n (<%= register_block.reset %>),
10
+ .bit_field_if (<%= bit_field.bit_field_sub_if %>),
11
+ .i_clear (<%= clear[bit_field.loop_variables] %>),
12
+ .o_value (<%= value_out[bit_field.loop_variables] %>)
13
+ );
@@ -0,0 +1,52 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:bit_field, :type, :rs) do
4
+ register_map do
5
+ read_only
6
+ need_initial_value
7
+ end
8
+ end
9
+
10
+ RgGen.define_list_item_feature(:bit_field, :type, [:w0s, :w1s]) do
11
+ register_map do
12
+ read_write
13
+ need_initial_value
14
+ end
15
+ end
16
+
17
+ RgGen.define_list_item_feature(:bit_field, :type, [:rs, :w0s, :w1s]) do
18
+ sv_rtl do
19
+ build do
20
+ input :register_block, :clear, {
21
+ name: "i_#{full_name}_clear",
22
+ data_type: :logic,
23
+ width: bit_field.width,
24
+ array_size: bit_field.array_size,
25
+ array_format: array_port_format
26
+ }
27
+ output :register_block, :value_out, {
28
+ name: "o_#{full_name}",
29
+ data_type: :logic,
30
+ width: bit_field.width,
31
+ array_size: bit_field.array_size,
32
+ array_format: array_port_format
33
+ }
34
+ end
35
+
36
+ main_code :bit_field, from_template: true
37
+
38
+ private
39
+
40
+ def module_name
41
+ if bit_field.type == :rs
42
+ 'rggen_bit_field_rs'
43
+ else
44
+ 'rggen_bit_field_w01s'
45
+ end
46
+ end
47
+
48
+ def set_value
49
+ bin({ w0s: 0, w1s: 1 }[bit_field.type], 1)
50
+ end
51
+ end
52
+ end
@@ -0,0 +1,9 @@
1
+ rggen_bit_field_<%= bit_field.type %> #(
2
+ .WIDTH (<%= bit_field.width %>),
3
+ .INITIAL_VALUE (<%= initial_value %>)
4
+ ) u_bit_field (
5
+ .i_clk (<%= register_block.clock %>),
6
+ .i_rst_n (<%= register_block.reset %>),
7
+ .bit_field_if (<%= bit_field.bit_field_sub_if %>),
8
+ .o_value (<%= value_out[bit_field.loop_variables] %>)
9
+ );
@@ -0,0 +1,33 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:bit_field, :type, :rw) do
4
+ register_map do
5
+ read_write
6
+ non_volatile
7
+ need_initial_value
8
+ end
9
+ end
10
+
11
+ RgGen.define_list_item_feature(:bit_field, :type, :wo) do
12
+ register_map do
13
+ write_only
14
+ non_volatile
15
+ need_initial_value
16
+ end
17
+ end
18
+
19
+ RgGen.define_list_item_feature(:bit_field, :type, [:rw, :wo]) do
20
+ sv_rtl do
21
+ build do
22
+ output :register_block, :value_out, {
23
+ name: "o_#{full_name}",
24
+ data_type: :logic,
25
+ width: bit_field.width,
26
+ array_size: bit_field.array_size,
27
+ array_format: array_port_format
28
+ }
29
+ end
30
+
31
+ main_code :bit_field, from_template: true
32
+ end
33
+ end
@@ -0,0 +1,14 @@
1
+ rggen_bit_field_<%= bit_field.type %> #(
2
+ .WIDTH (<%= bit_field.width %>),
3
+ .INITIAL_VALUE (<%= initial_value %>)
4
+ ) u_bit_field (
5
+ .i_clk (<%= register_block.clock %>),
6
+ .i_rst_n (<%= register_block.reset %>),
7
+ .bit_field_if (<%= bit_field.bit_field_sub_if %>),
8
+ <% if bit_field.type == :rwe %>
9
+ .i_enable (<%= reference_bit_field %>),
10
+ <% else %>
11
+ .i_lock (<%= reference_bit_field %>),
12
+ <% end %>
13
+ .o_value (<%= value_out[bit_field.loop_variables] %>)
14
+ );
@@ -0,0 +1,39 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:bit_field, :type, [:rwe, :rwl]) do
4
+ register_map do
5
+ read_write
6
+ non_volatile
7
+ need_initial_value
8
+ use_reference required: true, width: 1
9
+ end
10
+
11
+ sv_rtl do
12
+ build do
13
+ output :register_block, :value_out, {
14
+ name: "o_#{full_name}",
15
+ data_type: :logic,
16
+ width: bit_field.width,
17
+ array_size: bit_field.array_size,
18
+ array_format: array_port_format
19
+ }
20
+ end
21
+
22
+ main_code :bit_field, from_template: true
23
+ end
24
+
25
+ sv_ral do
26
+ model_name do
27
+ "rggen_ral_#{bit_field.type}_field #(#{reference_names})"
28
+ end
29
+
30
+ private
31
+
32
+ def reference_names
33
+ reference = bit_field.find_reference(register_block.bit_fields)
34
+ [reference.register.name, reference.name]
35
+ .map { |name| string(name) }
36
+ .join(', ')
37
+ end
38
+ end
39
+ end
@@ -0,0 +1,32 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_simple_feature(:global, :address_width) do
4
+ configuration do
5
+ property :address_width, default: 32
6
+
7
+ build do |value|
8
+ @address_width =
9
+ begin
10
+ Integer(value)
11
+ rescue ArgumentError, TypeError
12
+ error "cannot convert #{value.inspect} into address width"
13
+ end
14
+ end
15
+
16
+ verify(:component) do
17
+ error_condition { address_width < min_address_width }
18
+ message do
19
+ 'input address width is less than minimum address width: ' \
20
+ "address width #{address_width} " \
21
+ "minimum address width #{min_address_width}"
22
+ end
23
+ end
24
+
25
+ private
26
+
27
+ def min_address_width
28
+ byte_width = configuration.byte_width
29
+ byte_width == 1 ? 1 : (byte_width - 1).bit_length
30
+ end
31
+ end
32
+ end
@@ -0,0 +1,19 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_simple_feature(:global, :array_port_format) do
4
+ configuration do
5
+ property :array_port_format, default: :packed
6
+
7
+ input_pattern /(packed|unpacked|vectorized)/i
8
+ ignore_empty_value false
9
+
10
+ build do |value|
11
+ @array_port_format =
12
+ if pattern_matched?
13
+ match_data[1].downcase.to_sym
14
+ else
15
+ error "illegal input value for array port format: #{value.inspect}"
16
+ end
17
+ end
18
+ end
19
+ end
@@ -0,0 +1,33 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_simple_feature(:global, :bus_width) do
4
+ configuration do
5
+ property :bus_width, default: 32
6
+ property :byte_width, body: -> { bus_width / 8 }
7
+
8
+ build do |value|
9
+ @bus_width =
10
+ begin
11
+ Integer(value)
12
+ rescue ArgumentError, TypeError
13
+ error "cannot convert #{value.inspect} into bus width"
14
+ end
15
+ end
16
+
17
+ verify(:feature) do
18
+ error_condition { bus_width < 8 }
19
+ message { "input bus width is less than 8: #{bus_width}" }
20
+ end
21
+
22
+ verify(:feature) do
23
+ error_condition { !power_of_2?(bus_width) }
24
+ message { "input bus width is not power of 2: #{bus_width}" }
25
+ end
26
+
27
+ private
28
+
29
+ def power_of_2?(value)
30
+ value.positive? && (value & value.pred).zero?
31
+ end
32
+ end
33
+ end
@@ -0,0 +1,24 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_simple_feature(:global, :fold_sv_interface_port) do
4
+ configuration do
5
+ property :fold_sv_interface_port?, default: true
6
+
7
+ input_pattern [
8
+ /true|on|yes/i, /false|off|no/i
9
+ ], match_automatically: false
10
+
11
+ ignore_empty_value false
12
+
13
+ build do |value|
14
+ @fold_sv_interface_port =
15
+ if [true, false].include?(value)
16
+ value
17
+ elsif match_pattern(value)
18
+ [true, false][match_index]
19
+ else
20
+ error "cannot convert #{value.inspect} into boolean"
21
+ end
22
+ end
23
+ end
24
+ end