rggen 0.8.2 → 0.9.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (269) hide show
  1. checksums.yaml +4 -4
  2. data/CODE_OF_CONDUCT.md +54 -29
  3. data/{LICENSE.txt → LICENSE} +1 -1
  4. data/README.md +65 -56
  5. data/lib/rggen.rb +4 -63
  6. data/lib/rggen/built_in.rb +53 -0
  7. data/lib/rggen/built_in/bit_field/bit_assignment.rb +108 -0
  8. data/lib/rggen/built_in/bit_field/comment.rb +16 -0
  9. data/lib/rggen/built_in/bit_field/initial_value.rb +45 -0
  10. data/lib/rggen/built_in/bit_field/name.rb +39 -0
  11. data/lib/rggen/built_in/bit_field/reference.rb +100 -0
  12. data/lib/rggen/built_in/bit_field/sv_rtl_top.rb +87 -0
  13. data/lib/rggen/built_in/bit_field/type.rb +279 -0
  14. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb +15 -0
  15. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb +68 -0
  16. data/lib/rggen/built_in/bit_field/type/reserved.erb +3 -0
  17. data/lib/rggen/built_in/bit_field/type/reserved.rb +16 -0
  18. data/lib/rggen/built_in/bit_field/type/ro.erb +6 -0
  19. data/lib/rggen/built_in/bit_field/type/ro.rb +34 -0
  20. data/lib/rggen/built_in/bit_field/type/rof.erb +6 -0
  21. data/lib/rggen/built_in/bit_field/type/rof.rb +17 -0
  22. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb +13 -0
  23. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb +52 -0
  24. data/lib/rggen/built_in/bit_field/type/rw_wo.erb +9 -0
  25. data/lib/rggen/built_in/bit_field/type/rw_wo.rb +33 -0
  26. data/lib/rggen/built_in/bit_field/type/rwe_rwl.erb +14 -0
  27. data/lib/rggen/built_in/bit_field/type/rwe_rwl.rb +39 -0
  28. data/lib/rggen/built_in/global/address_width.rb +32 -0
  29. data/lib/rggen/built_in/global/array_port_format.rb +19 -0
  30. data/lib/rggen/built_in/global/bus_width.rb +33 -0
  31. data/lib/rggen/built_in/global/fold_sv_interface_port.rb +24 -0
  32. data/lib/rggen/built_in/register/name.rb +34 -0
  33. data/lib/rggen/built_in/register/offset_address.rb +96 -0
  34. data/lib/rggen/built_in/register/size.rb +49 -0
  35. data/lib/rggen/built_in/register/sv_rtl_top.rb +82 -0
  36. data/lib/rggen/built_in/register/type.rb +374 -0
  37. data/lib/rggen/built_in/register/type/default_sv_ral.erb +8 -0
  38. data/lib/rggen/built_in/register/type/default_sv_rtl.erb +15 -0
  39. data/lib/rggen/built_in/register/type/external.erb +11 -0
  40. data/lib/rggen/built_in/register/type/external.rb +141 -0
  41. data/lib/rggen/built_in/register/type/indirect.rb +329 -0
  42. data/lib/rggen/built_in/register/type/indirect_sv_ral.erb +13 -0
  43. data/lib/rggen/built_in/register/type/indirect_sv_rtl.erb +17 -0
  44. data/lib/rggen/built_in/register_block/byte_size.rb +59 -0
  45. data/lib/rggen/built_in/register_block/name.rb +36 -0
  46. data/lib/rggen/built_in/register_block/protocol.rb +71 -0
  47. data/lib/rggen/built_in/register_block/protocol/apb.erb +10 -0
  48. data/lib/rggen/built_in/register_block/protocol/apb.rb +113 -0
  49. data/lib/rggen/built_in/register_block/protocol/axi4lite.erb +11 -0
  50. data/lib/rggen/built_in/register_block/protocol/axi4lite.rb +167 -0
  51. data/lib/rggen/built_in/register_block/sv_ral_block_model.erb +11 -0
  52. data/lib/rggen/built_in/register_block/sv_ral_package.rb +58 -0
  53. data/lib/rggen/built_in/register_block/sv_rtl_macros.erb +9 -0
  54. data/lib/rggen/built_in/register_block/sv_rtl_top.rb +87 -0
  55. data/lib/rggen/built_in/version.rb +7 -0
  56. data/lib/rggen/default_setup_file.rb +6 -0
  57. data/lib/rggen/setup/default.rb +26 -0
  58. data/lib/rggen/version.rb +5 -4
  59. data/sample/block_0.rb +85 -0
  60. data/sample/block_0.sv +601 -0
  61. data/sample/block_0.xlsx +0 -0
  62. data/sample/block_0.yml +94 -0
  63. data/sample/block_0_ral_pkg.sv +174 -0
  64. data/sample/block_1.rb +22 -0
  65. data/sample/block_1.sv +136 -0
  66. data/sample/block_1.xlsx +0 -0
  67. data/sample/block_1.yml +26 -0
  68. data/sample/block_1_ral_pkg.sv +68 -0
  69. data/sample/config.json +5 -0
  70. data/sample/config.yml +3 -0
  71. metadata +96 -270
  72. data/bin/rggen +0 -6
  73. data/c_header/LICENSE +0 -21
  74. data/c_header/rggen.h +0 -17
  75. data/lib/rggen/base/component.rb +0 -31
  76. data/lib/rggen/base/component_factory.rb +0 -53
  77. data/lib/rggen/base/hierarchical_accessors.rb +0 -87
  78. data/lib/rggen/base/hierarchical_item_accessors.rb +0 -79
  79. data/lib/rggen/base/internal_struct.rb +0 -28
  80. data/lib/rggen/base/item.rb +0 -35
  81. data/lib/rggen/base/item_factory.rb +0 -25
  82. data/lib/rggen/builder/builder.rb +0 -69
  83. data/lib/rggen/builder/category.rb +0 -63
  84. data/lib/rggen/builder/component_entry.rb +0 -50
  85. data/lib/rggen/builder/component_store.rb +0 -42
  86. data/lib/rggen/builder/input_component_store.rb +0 -25
  87. data/lib/rggen/builder/item_store.rb +0 -89
  88. data/lib/rggen/builder/list_item_entry.rb +0 -81
  89. data/lib/rggen/builder/output_component_store.rb +0 -13
  90. data/lib/rggen/builder/simple_item_entry.rb +0 -33
  91. data/lib/rggen/builtins.rb +0 -55
  92. data/lib/rggen/builtins/bit_field/bit_assignment.rb +0 -39
  93. data/lib/rggen/builtins/bit_field/field_model.rb +0 -38
  94. data/lib/rggen/builtins/bit_field/initial_value.rb +0 -36
  95. data/lib/rggen/builtins/bit_field/name.rb +0 -26
  96. data/lib/rggen/builtins/bit_field/reference.rb +0 -40
  97. data/lib/rggen/builtins/bit_field/rtl_top.rb +0 -11
  98. data/lib/rggen/builtins/bit_field/type.rb +0 -244
  99. data/lib/rggen/builtins/bit_field/types/reserved.erb +0 -6
  100. data/lib/rggen/builtins/bit_field/types/reserved.rb +0 -18
  101. data/lib/rggen/builtins/bit_field/types/ro.erb +0 -6
  102. data/lib/rggen/builtins/bit_field/types/ro.rb +0 -22
  103. data/lib/rggen/builtins/bit_field/types/rw.erb +0 -9
  104. data/lib/rggen/builtins/bit_field/types/rw.rb +0 -23
  105. data/lib/rggen/builtins/bit_field/types/rwl_rwe.erb +0 -11
  106. data/lib/rggen/builtins/bit_field/types/rwl_rwe.rb +0 -54
  107. data/lib/rggen/builtins/bit_field/types/w0c_w1c.erb +0 -12
  108. data/lib/rggen/builtins/bit_field/types/w0c_w1c.rb +0 -33
  109. data/lib/rggen/builtins/bit_field/types/w0s_w1s.erb +0 -12
  110. data/lib/rggen/builtins/bit_field/types/w0s_w1s.rb +0 -33
  111. data/lib/rggen/builtins/bit_field/types/wo.rb +0 -5
  112. data/lib/rggen/builtins/global/address_width.rb +0 -17
  113. data/lib/rggen/builtins/global/array_port_format.rb +0 -15
  114. data/lib/rggen/builtins/global/data_width.rb +0 -20
  115. data/lib/rggen/builtins/global/unfold_sv_interface_port.rb +0 -22
  116. data/lib/rggen/builtins/loaders/configuration/json_loader.rb +0 -7
  117. data/lib/rggen/builtins/loaders/configuration/yaml_loader.rb +0 -7
  118. data/lib/rggen/builtins/loaders/register_map/csv_loader.rb +0 -12
  119. data/lib/rggen/builtins/loaders/register_map/xls_loader.rb +0 -17
  120. data/lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb +0 -21
  121. data/lib/rggen/builtins/register/array.rb +0 -30
  122. data/lib/rggen/builtins/register/constructor.rb +0 -17
  123. data/lib/rggen/builtins/register/field_model_creator.rb +0 -14
  124. data/lib/rggen/builtins/register/indirect_index_configurator.rb +0 -54
  125. data/lib/rggen/builtins/register/name.rb +0 -26
  126. data/lib/rggen/builtins/register/offset_address.rb +0 -61
  127. data/lib/rggen/builtins/register/reg_model.rb +0 -107
  128. data/lib/rggen/builtins/register/rtl_top.rb +0 -68
  129. data/lib/rggen/builtins/register/sub_block_model.rb +0 -34
  130. data/lib/rggen/builtins/register/type.rb +0 -283
  131. data/lib/rggen/builtins/register/types/default.erb +0 -10
  132. data/lib/rggen/builtins/register/types/external.erb +0 -11
  133. data/lib/rggen/builtins/register/types/external.rb +0 -77
  134. data/lib/rggen/builtins/register/types/indirect.erb +0 -13
  135. data/lib/rggen/builtins/register/types/indirect.rb +0 -175
  136. data/lib/rggen/builtins/register/uniqueness_validator.rb +0 -51
  137. data/lib/rggen/builtins/register_block/address_struct.rb +0 -56
  138. data/lib/rggen/builtins/register_block/base_address.rb +0 -64
  139. data/lib/rggen/builtins/register_block/block_model.rb +0 -20
  140. data/lib/rggen/builtins/register_block/byte_size.rb +0 -37
  141. data/lib/rggen/builtins/register_block/c_header_file.rb +0 -15
  142. data/lib/rggen/builtins/register_block/clock_reset.rb +0 -8
  143. data/lib/rggen/builtins/register_block/constructor.rb +0 -14
  144. data/lib/rggen/builtins/register_block/default_map_creator.rb +0 -39
  145. data/lib/rggen/builtins/register_block/host_if.rb +0 -64
  146. data/lib/rggen/builtins/register_block/host_ifs/apb.erb +0 -10
  147. data/lib/rggen/builtins/register_block/host_ifs/apb.rb +0 -64
  148. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.erb +0 -11
  149. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.rb +0 -93
  150. data/lib/rggen/builtins/register_block/name.rb +0 -26
  151. data/lib/rggen/builtins/register_block/ral_package.rb +0 -24
  152. data/lib/rggen/builtins/register_block/rtl_top.rb +0 -38
  153. data/lib/rggen/builtins/register_block/sub_model_creator.rb +0 -14
  154. data/lib/rggen/commands.rb +0 -23
  155. data/lib/rggen/core_components.rb +0 -54
  156. data/lib/rggen/core_components/c_header/item.rb +0 -8
  157. data/lib/rggen/core_components/c_header/setup.rb +0 -19
  158. data/lib/rggen/core_components/c_utility.rb +0 -19
  159. data/lib/rggen/core_components/c_utility/data_structure_definition.rb +0 -60
  160. data/lib/rggen/core_components/c_utility/source_file.rb +0 -10
  161. data/lib/rggen/core_components/c_utility/variable_declaration.rb +0 -35
  162. data/lib/rggen/core_components/code_utility.rb +0 -56
  163. data/lib/rggen/core_components/code_utility/code_block.rb +0 -72
  164. data/lib/rggen/core_components/code_utility/line.rb +0 -28
  165. data/lib/rggen/core_components/code_utility/source_file.rb +0 -97
  166. data/lib/rggen/core_components/configuration/configuration_factory.rb +0 -23
  167. data/lib/rggen/core_components/configuration/item.rb +0 -11
  168. data/lib/rggen/core_components/configuration/item_factory.rb +0 -20
  169. data/lib/rggen/core_components/configuration/raise_error.rb +0 -11
  170. data/lib/rggen/core_components/configuration/setup.rb +0 -14
  171. data/lib/rggen/core_components/erb_engine.rb +0 -15
  172. data/lib/rggen/core_components/ral/component.rb +0 -24
  173. data/lib/rggen/core_components/ral/item.rb +0 -59
  174. data/lib/rggen/core_components/ral/setup.rb +0 -19
  175. data/lib/rggen/core_components/register_map/bit_field_factory.rb +0 -11
  176. data/lib/rggen/core_components/register_map/component.rb +0 -15
  177. data/lib/rggen/core_components/register_map/component_factory.rb +0 -9
  178. data/lib/rggen/core_components/register_map/generic_map.rb +0 -80
  179. data/lib/rggen/core_components/register_map/item.rb +0 -26
  180. data/lib/rggen/core_components/register_map/item_factory.rb +0 -26
  181. data/lib/rggen/core_components/register_map/loader.rb +0 -11
  182. data/lib/rggen/core_components/register_map/raise_error.rb +0 -17
  183. data/lib/rggen/core_components/register_map/register_block_factory.rb +0 -29
  184. data/lib/rggen/core_components/register_map/register_factory.rb +0 -18
  185. data/lib/rggen/core_components/register_map/register_map_factory.rb +0 -18
  186. data/lib/rggen/core_components/register_map/setup.rb +0 -33
  187. data/lib/rggen/core_components/rtl/component.rb +0 -24
  188. data/lib/rggen/core_components/rtl/item.rb +0 -82
  189. data/lib/rggen/core_components/rtl/setup.rb +0 -19
  190. data/lib/rggen/core_components/verilog_utility.rb +0 -88
  191. data/lib/rggen/core_components/verilog_utility/class_definition.rb +0 -56
  192. data/lib/rggen/core_components/verilog_utility/identifier.rb +0 -78
  193. data/lib/rggen/core_components/verilog_utility/interface_instance.rb +0 -37
  194. data/lib/rggen/core_components/verilog_utility/interface_port.rb +0 -33
  195. data/lib/rggen/core_components/verilog_utility/local_scope.rb +0 -75
  196. data/lib/rggen/core_components/verilog_utility/module_definition.rb +0 -82
  197. data/lib/rggen/core_components/verilog_utility/package_definition.rb +0 -57
  198. data/lib/rggen/core_components/verilog_utility/source_file.rb +0 -10
  199. data/lib/rggen/core_components/verilog_utility/structure_definition.rb +0 -51
  200. data/lib/rggen/core_components/verilog_utility/subroutine_definition.rb +0 -41
  201. data/lib/rggen/core_components/verilog_utility/variable.rb +0 -115
  202. data/lib/rggen/core_extensions/array.rb +0 -9
  203. data/lib/rggen/core_extensions/facets.rb +0 -22
  204. data/lib/rggen/core_extensions/forwardable.rb +0 -49
  205. data/lib/rggen/core_extensions/integer.rb +0 -5
  206. data/lib/rggen/core_extensions/math.rb +0 -7
  207. data/lib/rggen/core_extensions/roo.rb +0 -17
  208. data/lib/rggen/exceptions.rb +0 -28
  209. data/lib/rggen/generator.rb +0 -67
  210. data/lib/rggen/input_base/component.rb +0 -28
  211. data/lib/rggen/input_base/component_factory.rb +0 -58
  212. data/lib/rggen/input_base/item.rb +0 -171
  213. data/lib/rggen/input_base/item_factory.rb +0 -13
  214. data/lib/rggen/input_base/loader.rb +0 -23
  215. data/lib/rggen/input_base/regexp_patterns.rb +0 -29
  216. data/lib/rggen/option_switches.rb +0 -60
  217. data/lib/rggen/options.rb +0 -97
  218. data/lib/rggen/output_base/code_generator.rb +0 -36
  219. data/lib/rggen/output_base/component.rb +0 -78
  220. data/lib/rggen/output_base/component_factory.rb +0 -32
  221. data/lib/rggen/output_base/file_writer.rb +0 -36
  222. data/lib/rggen/output_base/item.rb +0 -110
  223. data/lib/rggen/output_base/item_factory.rb +0 -9
  224. data/lib/rggen/output_base/template_engine.rb +0 -24
  225. data/lib/rggen/rggen_home.rb +0 -3
  226. data/ral/LICENSE +0 -21
  227. data/ral/compile.f +0 -2
  228. data/ral/rggen_ral_block.svh +0 -83
  229. data/ral/rggen_ral_field.svh +0 -47
  230. data/ral/rggen_ral_field_rwl_rwe.svh +0 -158
  231. data/ral/rggen_ral_indirect_reg.svh +0 -193
  232. data/ral/rggen_ral_macros.svh +0 -27
  233. data/ral/rggen_ral_map.svh +0 -124
  234. data/ral/rggen_ral_pkg.sv +0 -15
  235. data/ral/rggen_ral_reg.svh +0 -88
  236. data/rtl/LICENSE +0 -21
  237. data/rtl/compile.f +0 -18
  238. data/rtl/rggen_address_decoder.sv +0 -23
  239. data/rtl/rggen_apb_if.sv +0 -41
  240. data/rtl/rggen_axi4lite_if.sv +0 -68
  241. data/rtl/rggen_bit_field_if.sv +0 -28
  242. data/rtl/rggen_bit_field_ro.sv +0 -9
  243. data/rtl/rggen_bit_field_rw.sv +0 -25
  244. data/rtl/rggen_bit_field_rwl_rwe.sv +0 -29
  245. data/rtl/rggen_bit_field_w01s_w01c.sv +0 -55
  246. data/rtl/rggen_bus_if.sv +0 -43
  247. data/rtl/rggen_bus_splitter.sv +0 -86
  248. data/rtl/rggen_default_register.sv +0 -15
  249. data/rtl/rggen_external_register.sv +0 -83
  250. data/rtl/rggen_host_if_apb.sv +0 -29
  251. data/rtl/rggen_host_if_axi4lite.sv +0 -161
  252. data/rtl/rggen_indirect_register.sv +0 -21
  253. data/rtl/rggen_register_base.sv +0 -57
  254. data/rtl/rggen_register_if.sv +0 -42
  255. data/rtl/rggen_rtl_pkg.sv +0 -23
  256. data/sample/LICENSE +0 -21
  257. data/sample/sample.csv +0 -21
  258. data/sample/sample.json +0 -6
  259. data/sample/sample.xls +0 -0
  260. data/sample/sample.xlsx +0 -0
  261. data/sample/sample.yaml +0 -4
  262. data/sample/sample_0.h +0 -17
  263. data/sample/sample_0.sv +0 -402
  264. data/sample/sample_0_ral_pkg.sv +0 -145
  265. data/sample/sample_1.h +0 -9
  266. data/sample/sample_1.sv +0 -128
  267. data/sample/sample_1_ral_pkg.sv +0 -56
  268. data/sample/sample_setup.rb +0 -24
  269. data/setup/default.rb +0 -14
data/bin/rggen DELETED
@@ -1,6 +0,0 @@
1
- #!/usr/bin/env ruby
2
-
3
- $LOAD_PATH.unshift(File.join(__dir__, '../lib'))
4
-
5
- require 'rggen'
6
- RgGen::Generator.new.run(ARGV)
data/c_header/LICENSE DELETED
@@ -1,21 +0,0 @@
1
- MIT License
2
-
3
- Copyright (c) 2017 Taichi Ishitani
4
-
5
- Permission is hereby granted, free of charge, to any person obtaining a copy
6
- of this software and associated documentation files (the "Software"), to deal
7
- in the Software without restriction, including without limitation the rights
8
- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9
- copies of the Software, and to permit persons to whom the Software is
10
- furnished to do so, subject to the following conditions:
11
-
12
- The above copyright notice and this permission notice shall be included in all
13
- copies or substantial portions of the Software.
14
-
15
- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16
- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17
- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18
- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19
- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20
- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
21
- SOFTWARE.
data/c_header/rggen.h DELETED
@@ -1,17 +0,0 @@
1
- #ifndef RGGEN_H
2
- #define RGGEN_H
3
-
4
- #include <stdint.h>
5
-
6
- typedef uint8_t rggen_uint8;
7
- typedef uint16_t rggen_uint16;
8
- typedef uint32_t rggen_uint32;
9
- typedef uint64_t rggen_uint64;
10
-
11
- #define RGGEN_EXTERNAL_REGISTERS(SIZE, TYPE) \
12
- union { \
13
- rggen_uint8 array[SIZE]; \
14
- TYPE body; \
15
- }
16
-
17
- #endif
@@ -1,31 +0,0 @@
1
- module RgGen
2
- module Base
3
- class Component
4
- include SingleForwardable
5
-
6
- def initialize(parent)
7
- @parent = parent
8
- @children = []
9
- @level = (parent && parent.level + 1) || 0
10
- @items = []
11
- end
12
-
13
- attr_reader :parent
14
- attr_reader :children
15
- attr_reader :level
16
- attr_reader :items
17
-
18
- def need_children?
19
- @need_children
20
- end
21
-
22
- def add_child(child)
23
- @children << child if need_children?
24
- end
25
-
26
- def add_item(item)
27
- items << item
28
- end
29
- end
30
- end
31
- end
@@ -1,53 +0,0 @@
1
- module RgGen
2
- module Base
3
- class ComponentFactory
4
- def initialize
5
- @root_factory = false
6
- end
7
-
8
- attr_writer :target_component
9
- attr_writer :item_factories
10
- attr_writer :child_factory
11
-
12
- def create(*args)
13
- parent = (child_factory? && args.shift) || nil
14
- sources = args
15
- create_component(parent, *sources).tap do |component|
16
- create_items(component, *sources) if create_items?
17
- parent.add_child(component) if child_factory?
18
- create_children(component, *sources) if create_children?(component)
19
- end
20
- end
21
-
22
- def root_factory
23
- @root_factory = true
24
- end
25
-
26
- private
27
-
28
- def child_factory?
29
- !@root_factory
30
- end
31
-
32
- def create_items?
33
- @item_factories.not_nil?
34
- end
35
-
36
- def create_children?(component)
37
- @child_factory.not_nil? && component.need_children?
38
- end
39
-
40
- def create_component(parent, *_sources)
41
- @target_component.new(parent)
42
- end
43
-
44
- def create_item(item_factory, component, *sources)
45
- item_factory.create(component, *sources)
46
- end
47
-
48
- def create_child(component, *sources)
49
- @child_factory.create(component, *sources)
50
- end
51
- end
52
- end
53
- end
@@ -1,87 +0,0 @@
1
- module RgGen
2
- module Base
3
- module HierarchicalAccessors
4
- module RegisterMap
5
- def hierarchy
6
- :register_map
7
- end
8
-
9
- def register_blocks
10
- children
11
- end
12
-
13
- def registers
14
- register_blocks.flat_map(&:children)
15
- end
16
-
17
- def bit_fields
18
- registers.flat_map(&:children)
19
- end
20
- end
21
-
22
- module RegisterBlock
23
- def hierarchy
24
- :register_block
25
- end
26
-
27
- def register_map
28
- parent
29
- end
30
-
31
- def registers
32
- children
33
- end
34
-
35
- def bit_fields
36
- registers.flat_map(&:children)
37
- end
38
- end
39
-
40
- module Register
41
- def hierarchy
42
- :register
43
- end
44
-
45
- def register_map
46
- register_block.parent
47
- end
48
-
49
- def register_block
50
- parent
51
- end
52
-
53
- def bit_fields
54
- children
55
- end
56
- end
57
-
58
- module BitField
59
- def hierarchy
60
- :bit_field
61
- end
62
-
63
- def register_map
64
- register_block.parent
65
- end
66
-
67
- def register_block
68
- register.parent
69
- end
70
-
71
- def register
72
- parent
73
- end
74
- end
75
-
76
- private
77
-
78
- EXTENSIONS = [
79
- RegisterMap, RegisterBlock, Register, BitField
80
- ].freeze
81
-
82
- def define_hierarchical_accessors
83
- extend EXTENSIONS[level]
84
- end
85
- end
86
- end
87
- end
@@ -1,79 +0,0 @@
1
- module RgGen
2
- module Base
3
- module HierarchicalItemAccessors
4
- module RegisterMap
5
- def hierarchy
6
- :register_map
7
- end
8
-
9
- def register_map
10
- @owner
11
- end
12
- end
13
-
14
- module RegisterBlock
15
- def hierarchy
16
- :register_block
17
- end
18
-
19
- def register_map
20
- register_block.parent
21
- end
22
-
23
- def register_block
24
- @owner
25
- end
26
- end
27
-
28
- module Register
29
- def hierarchy
30
- :register
31
- end
32
-
33
- def register_map
34
- register_block.parent
35
- end
36
-
37
- def register_block
38
- register.parent
39
- end
40
-
41
- def register
42
- @owner
43
- end
44
- end
45
-
46
- module BitField
47
- def hierarchy
48
- :bit_field
49
- end
50
-
51
- def register_map
52
- register_block.parent
53
- end
54
-
55
- def register_block
56
- register.parent
57
- end
58
-
59
- def register
60
- bit_field.parent
61
- end
62
-
63
- def bit_field
64
- @owner
65
- end
66
- end
67
-
68
- private
69
-
70
- EXTENSIONS = [
71
- RegisterMap, RegisterBlock, Register, BitField
72
- ].freeze
73
-
74
- def define_hierarchical_item_accessors
75
- extend EXTENSIONS[@owner.level]
76
- end
77
- end
78
- end
79
- end
@@ -1,28 +0,0 @@
1
- module RgGen
2
- module Base
3
- module InternalStruct
4
- private
5
-
6
- def internal_structs
7
- @internal_structs ||= {}
8
- end
9
-
10
- def define_struct(struct_name, members, &body)
11
- return if internal_structs.key?(struct_name)
12
- internal_structs[struct_name] = Struct.new(*members, &body)
13
- define_method(struct_name) do
14
- self.class.send(:internal_structs)[struct_name]
15
- end
16
- private(struct_name)
17
- end
18
-
19
- def inherited(subclass)
20
- super(subclass)
21
- return unless instance_variable_defined?(:@internal_structs)
22
- subclass.instance_variable_set(
23
- :@internal_structs, Hash[@internal_structs]
24
- )
25
- end
26
- end
27
- end
28
- end
@@ -1,35 +0,0 @@
1
- module RgGen
2
- module Base
3
- class Item
4
- extend Forwardable
5
- extend InternalStruct
6
-
7
- class << self
8
- def define_helpers(&body)
9
- singleton_class.class_exec(&body) if block_given?
10
- end
11
-
12
- def available?(&body)
13
- define_method(:available?, &body)
14
- end
15
-
16
- def inherit_class_instance_variable(variable_name, klass)
17
- return unless klass.instance_variable_defined?(variable_name)
18
- v = klass.instance_variable_get(variable_name)
19
- v = yield(v) if block_given?
20
- instance_variable_set(variable_name, v)
21
- end
22
- end
23
-
24
- def initialize(owner)
25
- @owner = owner
26
- end
27
-
28
- attr_reader :owner
29
-
30
- def available?
31
- true
32
- end
33
- end
34
- end
35
- end
@@ -1,25 +0,0 @@
1
- module RgGen
2
- module Base
3
- class ItemFactory
4
- extend InternalStruct
5
-
6
- attr_writer :target_items
7
- attr_writer :target_item
8
-
9
- private
10
-
11
- def create_item(owner, *args)
12
- item = create_item_object(owner, *args)
13
- return unless item.available?
14
- yield item if block_given?
15
- owner.add_item(item)
16
- end
17
-
18
- def create_item_object(owner, *args)
19
- klass ||= @target_items && select_target_item(*args)
20
- klass ||= @target_item
21
- klass.new(owner)
22
- end
23
- end
24
- end
25
- end
@@ -1,69 +0,0 @@
1
- module RgGen
2
- module Builder
3
- class Builder
4
- INITIAL_CATEGORIES = [
5
- :global,
6
- :register_block,
7
- :register,
8
- :bit_field
9
- ].freeze
10
-
11
- def initialize
12
- @stores = Hash.new do |_, component_name|
13
- raise RgGen::BuilderError, "unknown component: #{component_name}"
14
- end
15
- @categories = Hash.new do |_, category_name|
16
- raise RgGen::BuilderError, "unknown category: #{category_name}"
17
- end
18
- INITIAL_CATEGORIES.each do |category_name|
19
- @categories[category_name] = Category.new
20
- end
21
- end
22
-
23
- attr_reader :categories
24
-
25
- def input_component_store(component_name, &body)
26
- component_store(InputComponentStore, component_name, body)
27
- end
28
-
29
- def output_component_store(component_name, &body)
30
- component_store(OutputComponentStore, component_name, body)
31
- end
32
-
33
- def stored_output_components
34
- @stores.keys.select do |component_name|
35
- @stores[component_name].is_a?(OutputComponentStore)
36
- end
37
- end
38
-
39
- def define_loader(component_name, type_or_types, &body)
40
- @stores[component_name].define_loader(type_or_types, &body)
41
- end
42
-
43
- def build_factory(component_name)
44
- @stores[component_name].build_factory
45
- end
46
-
47
- def define_simple_item(category_name, item_name, &body)
48
- @categories[category_name].define_simple_item(item_name, &body)
49
- end
50
-
51
- def define_list_item(category_name, list_name, item_name = nil, &body)
52
- @categories[category_name].define_list_item(list_name, item_name, &body)
53
- end
54
-
55
- def enable(category_name, *args)
56
- @categories[category_name].enable(*args)
57
- end
58
-
59
- private
60
-
61
- def component_store(klass, component_name, body)
62
- unless @stores.key?(component_name)
63
- @stores[component_name] = klass.new(self, component_name)
64
- end
65
- @stores[component_name].instance_exec(&body)
66
- end
67
- end
68
- end
69
- end