rggen 0.8.2 → 0.9.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (269) hide show
  1. checksums.yaml +4 -4
  2. data/CODE_OF_CONDUCT.md +54 -29
  3. data/{LICENSE.txt → LICENSE} +1 -1
  4. data/README.md +65 -56
  5. data/lib/rggen.rb +4 -63
  6. data/lib/rggen/built_in.rb +53 -0
  7. data/lib/rggen/built_in/bit_field/bit_assignment.rb +108 -0
  8. data/lib/rggen/built_in/bit_field/comment.rb +16 -0
  9. data/lib/rggen/built_in/bit_field/initial_value.rb +45 -0
  10. data/lib/rggen/built_in/bit_field/name.rb +39 -0
  11. data/lib/rggen/built_in/bit_field/reference.rb +100 -0
  12. data/lib/rggen/built_in/bit_field/sv_rtl_top.rb +87 -0
  13. data/lib/rggen/built_in/bit_field/type.rb +279 -0
  14. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb +15 -0
  15. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb +68 -0
  16. data/lib/rggen/built_in/bit_field/type/reserved.erb +3 -0
  17. data/lib/rggen/built_in/bit_field/type/reserved.rb +16 -0
  18. data/lib/rggen/built_in/bit_field/type/ro.erb +6 -0
  19. data/lib/rggen/built_in/bit_field/type/ro.rb +34 -0
  20. data/lib/rggen/built_in/bit_field/type/rof.erb +6 -0
  21. data/lib/rggen/built_in/bit_field/type/rof.rb +17 -0
  22. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb +13 -0
  23. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb +52 -0
  24. data/lib/rggen/built_in/bit_field/type/rw_wo.erb +9 -0
  25. data/lib/rggen/built_in/bit_field/type/rw_wo.rb +33 -0
  26. data/lib/rggen/built_in/bit_field/type/rwe_rwl.erb +14 -0
  27. data/lib/rggen/built_in/bit_field/type/rwe_rwl.rb +39 -0
  28. data/lib/rggen/built_in/global/address_width.rb +32 -0
  29. data/lib/rggen/built_in/global/array_port_format.rb +19 -0
  30. data/lib/rggen/built_in/global/bus_width.rb +33 -0
  31. data/lib/rggen/built_in/global/fold_sv_interface_port.rb +24 -0
  32. data/lib/rggen/built_in/register/name.rb +34 -0
  33. data/lib/rggen/built_in/register/offset_address.rb +96 -0
  34. data/lib/rggen/built_in/register/size.rb +49 -0
  35. data/lib/rggen/built_in/register/sv_rtl_top.rb +82 -0
  36. data/lib/rggen/built_in/register/type.rb +374 -0
  37. data/lib/rggen/built_in/register/type/default_sv_ral.erb +8 -0
  38. data/lib/rggen/built_in/register/type/default_sv_rtl.erb +15 -0
  39. data/lib/rggen/built_in/register/type/external.erb +11 -0
  40. data/lib/rggen/built_in/register/type/external.rb +141 -0
  41. data/lib/rggen/built_in/register/type/indirect.rb +329 -0
  42. data/lib/rggen/built_in/register/type/indirect_sv_ral.erb +13 -0
  43. data/lib/rggen/built_in/register/type/indirect_sv_rtl.erb +17 -0
  44. data/lib/rggen/built_in/register_block/byte_size.rb +59 -0
  45. data/lib/rggen/built_in/register_block/name.rb +36 -0
  46. data/lib/rggen/built_in/register_block/protocol.rb +71 -0
  47. data/lib/rggen/built_in/register_block/protocol/apb.erb +10 -0
  48. data/lib/rggen/built_in/register_block/protocol/apb.rb +113 -0
  49. data/lib/rggen/built_in/register_block/protocol/axi4lite.erb +11 -0
  50. data/lib/rggen/built_in/register_block/protocol/axi4lite.rb +167 -0
  51. data/lib/rggen/built_in/register_block/sv_ral_block_model.erb +11 -0
  52. data/lib/rggen/built_in/register_block/sv_ral_package.rb +58 -0
  53. data/lib/rggen/built_in/register_block/sv_rtl_macros.erb +9 -0
  54. data/lib/rggen/built_in/register_block/sv_rtl_top.rb +87 -0
  55. data/lib/rggen/built_in/version.rb +7 -0
  56. data/lib/rggen/default_setup_file.rb +6 -0
  57. data/lib/rggen/setup/default.rb +26 -0
  58. data/lib/rggen/version.rb +5 -4
  59. data/sample/block_0.rb +85 -0
  60. data/sample/block_0.sv +601 -0
  61. data/sample/block_0.xlsx +0 -0
  62. data/sample/block_0.yml +94 -0
  63. data/sample/block_0_ral_pkg.sv +174 -0
  64. data/sample/block_1.rb +22 -0
  65. data/sample/block_1.sv +136 -0
  66. data/sample/block_1.xlsx +0 -0
  67. data/sample/block_1.yml +26 -0
  68. data/sample/block_1_ral_pkg.sv +68 -0
  69. data/sample/config.json +5 -0
  70. data/sample/config.yml +3 -0
  71. metadata +96 -270
  72. data/bin/rggen +0 -6
  73. data/c_header/LICENSE +0 -21
  74. data/c_header/rggen.h +0 -17
  75. data/lib/rggen/base/component.rb +0 -31
  76. data/lib/rggen/base/component_factory.rb +0 -53
  77. data/lib/rggen/base/hierarchical_accessors.rb +0 -87
  78. data/lib/rggen/base/hierarchical_item_accessors.rb +0 -79
  79. data/lib/rggen/base/internal_struct.rb +0 -28
  80. data/lib/rggen/base/item.rb +0 -35
  81. data/lib/rggen/base/item_factory.rb +0 -25
  82. data/lib/rggen/builder/builder.rb +0 -69
  83. data/lib/rggen/builder/category.rb +0 -63
  84. data/lib/rggen/builder/component_entry.rb +0 -50
  85. data/lib/rggen/builder/component_store.rb +0 -42
  86. data/lib/rggen/builder/input_component_store.rb +0 -25
  87. data/lib/rggen/builder/item_store.rb +0 -89
  88. data/lib/rggen/builder/list_item_entry.rb +0 -81
  89. data/lib/rggen/builder/output_component_store.rb +0 -13
  90. data/lib/rggen/builder/simple_item_entry.rb +0 -33
  91. data/lib/rggen/builtins.rb +0 -55
  92. data/lib/rggen/builtins/bit_field/bit_assignment.rb +0 -39
  93. data/lib/rggen/builtins/bit_field/field_model.rb +0 -38
  94. data/lib/rggen/builtins/bit_field/initial_value.rb +0 -36
  95. data/lib/rggen/builtins/bit_field/name.rb +0 -26
  96. data/lib/rggen/builtins/bit_field/reference.rb +0 -40
  97. data/lib/rggen/builtins/bit_field/rtl_top.rb +0 -11
  98. data/lib/rggen/builtins/bit_field/type.rb +0 -244
  99. data/lib/rggen/builtins/bit_field/types/reserved.erb +0 -6
  100. data/lib/rggen/builtins/bit_field/types/reserved.rb +0 -18
  101. data/lib/rggen/builtins/bit_field/types/ro.erb +0 -6
  102. data/lib/rggen/builtins/bit_field/types/ro.rb +0 -22
  103. data/lib/rggen/builtins/bit_field/types/rw.erb +0 -9
  104. data/lib/rggen/builtins/bit_field/types/rw.rb +0 -23
  105. data/lib/rggen/builtins/bit_field/types/rwl_rwe.erb +0 -11
  106. data/lib/rggen/builtins/bit_field/types/rwl_rwe.rb +0 -54
  107. data/lib/rggen/builtins/bit_field/types/w0c_w1c.erb +0 -12
  108. data/lib/rggen/builtins/bit_field/types/w0c_w1c.rb +0 -33
  109. data/lib/rggen/builtins/bit_field/types/w0s_w1s.erb +0 -12
  110. data/lib/rggen/builtins/bit_field/types/w0s_w1s.rb +0 -33
  111. data/lib/rggen/builtins/bit_field/types/wo.rb +0 -5
  112. data/lib/rggen/builtins/global/address_width.rb +0 -17
  113. data/lib/rggen/builtins/global/array_port_format.rb +0 -15
  114. data/lib/rggen/builtins/global/data_width.rb +0 -20
  115. data/lib/rggen/builtins/global/unfold_sv_interface_port.rb +0 -22
  116. data/lib/rggen/builtins/loaders/configuration/json_loader.rb +0 -7
  117. data/lib/rggen/builtins/loaders/configuration/yaml_loader.rb +0 -7
  118. data/lib/rggen/builtins/loaders/register_map/csv_loader.rb +0 -12
  119. data/lib/rggen/builtins/loaders/register_map/xls_loader.rb +0 -17
  120. data/lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb +0 -21
  121. data/lib/rggen/builtins/register/array.rb +0 -30
  122. data/lib/rggen/builtins/register/constructor.rb +0 -17
  123. data/lib/rggen/builtins/register/field_model_creator.rb +0 -14
  124. data/lib/rggen/builtins/register/indirect_index_configurator.rb +0 -54
  125. data/lib/rggen/builtins/register/name.rb +0 -26
  126. data/lib/rggen/builtins/register/offset_address.rb +0 -61
  127. data/lib/rggen/builtins/register/reg_model.rb +0 -107
  128. data/lib/rggen/builtins/register/rtl_top.rb +0 -68
  129. data/lib/rggen/builtins/register/sub_block_model.rb +0 -34
  130. data/lib/rggen/builtins/register/type.rb +0 -283
  131. data/lib/rggen/builtins/register/types/default.erb +0 -10
  132. data/lib/rggen/builtins/register/types/external.erb +0 -11
  133. data/lib/rggen/builtins/register/types/external.rb +0 -77
  134. data/lib/rggen/builtins/register/types/indirect.erb +0 -13
  135. data/lib/rggen/builtins/register/types/indirect.rb +0 -175
  136. data/lib/rggen/builtins/register/uniqueness_validator.rb +0 -51
  137. data/lib/rggen/builtins/register_block/address_struct.rb +0 -56
  138. data/lib/rggen/builtins/register_block/base_address.rb +0 -64
  139. data/lib/rggen/builtins/register_block/block_model.rb +0 -20
  140. data/lib/rggen/builtins/register_block/byte_size.rb +0 -37
  141. data/lib/rggen/builtins/register_block/c_header_file.rb +0 -15
  142. data/lib/rggen/builtins/register_block/clock_reset.rb +0 -8
  143. data/lib/rggen/builtins/register_block/constructor.rb +0 -14
  144. data/lib/rggen/builtins/register_block/default_map_creator.rb +0 -39
  145. data/lib/rggen/builtins/register_block/host_if.rb +0 -64
  146. data/lib/rggen/builtins/register_block/host_ifs/apb.erb +0 -10
  147. data/lib/rggen/builtins/register_block/host_ifs/apb.rb +0 -64
  148. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.erb +0 -11
  149. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.rb +0 -93
  150. data/lib/rggen/builtins/register_block/name.rb +0 -26
  151. data/lib/rggen/builtins/register_block/ral_package.rb +0 -24
  152. data/lib/rggen/builtins/register_block/rtl_top.rb +0 -38
  153. data/lib/rggen/builtins/register_block/sub_model_creator.rb +0 -14
  154. data/lib/rggen/commands.rb +0 -23
  155. data/lib/rggen/core_components.rb +0 -54
  156. data/lib/rggen/core_components/c_header/item.rb +0 -8
  157. data/lib/rggen/core_components/c_header/setup.rb +0 -19
  158. data/lib/rggen/core_components/c_utility.rb +0 -19
  159. data/lib/rggen/core_components/c_utility/data_structure_definition.rb +0 -60
  160. data/lib/rggen/core_components/c_utility/source_file.rb +0 -10
  161. data/lib/rggen/core_components/c_utility/variable_declaration.rb +0 -35
  162. data/lib/rggen/core_components/code_utility.rb +0 -56
  163. data/lib/rggen/core_components/code_utility/code_block.rb +0 -72
  164. data/lib/rggen/core_components/code_utility/line.rb +0 -28
  165. data/lib/rggen/core_components/code_utility/source_file.rb +0 -97
  166. data/lib/rggen/core_components/configuration/configuration_factory.rb +0 -23
  167. data/lib/rggen/core_components/configuration/item.rb +0 -11
  168. data/lib/rggen/core_components/configuration/item_factory.rb +0 -20
  169. data/lib/rggen/core_components/configuration/raise_error.rb +0 -11
  170. data/lib/rggen/core_components/configuration/setup.rb +0 -14
  171. data/lib/rggen/core_components/erb_engine.rb +0 -15
  172. data/lib/rggen/core_components/ral/component.rb +0 -24
  173. data/lib/rggen/core_components/ral/item.rb +0 -59
  174. data/lib/rggen/core_components/ral/setup.rb +0 -19
  175. data/lib/rggen/core_components/register_map/bit_field_factory.rb +0 -11
  176. data/lib/rggen/core_components/register_map/component.rb +0 -15
  177. data/lib/rggen/core_components/register_map/component_factory.rb +0 -9
  178. data/lib/rggen/core_components/register_map/generic_map.rb +0 -80
  179. data/lib/rggen/core_components/register_map/item.rb +0 -26
  180. data/lib/rggen/core_components/register_map/item_factory.rb +0 -26
  181. data/lib/rggen/core_components/register_map/loader.rb +0 -11
  182. data/lib/rggen/core_components/register_map/raise_error.rb +0 -17
  183. data/lib/rggen/core_components/register_map/register_block_factory.rb +0 -29
  184. data/lib/rggen/core_components/register_map/register_factory.rb +0 -18
  185. data/lib/rggen/core_components/register_map/register_map_factory.rb +0 -18
  186. data/lib/rggen/core_components/register_map/setup.rb +0 -33
  187. data/lib/rggen/core_components/rtl/component.rb +0 -24
  188. data/lib/rggen/core_components/rtl/item.rb +0 -82
  189. data/lib/rggen/core_components/rtl/setup.rb +0 -19
  190. data/lib/rggen/core_components/verilog_utility.rb +0 -88
  191. data/lib/rggen/core_components/verilog_utility/class_definition.rb +0 -56
  192. data/lib/rggen/core_components/verilog_utility/identifier.rb +0 -78
  193. data/lib/rggen/core_components/verilog_utility/interface_instance.rb +0 -37
  194. data/lib/rggen/core_components/verilog_utility/interface_port.rb +0 -33
  195. data/lib/rggen/core_components/verilog_utility/local_scope.rb +0 -75
  196. data/lib/rggen/core_components/verilog_utility/module_definition.rb +0 -82
  197. data/lib/rggen/core_components/verilog_utility/package_definition.rb +0 -57
  198. data/lib/rggen/core_components/verilog_utility/source_file.rb +0 -10
  199. data/lib/rggen/core_components/verilog_utility/structure_definition.rb +0 -51
  200. data/lib/rggen/core_components/verilog_utility/subroutine_definition.rb +0 -41
  201. data/lib/rggen/core_components/verilog_utility/variable.rb +0 -115
  202. data/lib/rggen/core_extensions/array.rb +0 -9
  203. data/lib/rggen/core_extensions/facets.rb +0 -22
  204. data/lib/rggen/core_extensions/forwardable.rb +0 -49
  205. data/lib/rggen/core_extensions/integer.rb +0 -5
  206. data/lib/rggen/core_extensions/math.rb +0 -7
  207. data/lib/rggen/core_extensions/roo.rb +0 -17
  208. data/lib/rggen/exceptions.rb +0 -28
  209. data/lib/rggen/generator.rb +0 -67
  210. data/lib/rggen/input_base/component.rb +0 -28
  211. data/lib/rggen/input_base/component_factory.rb +0 -58
  212. data/lib/rggen/input_base/item.rb +0 -171
  213. data/lib/rggen/input_base/item_factory.rb +0 -13
  214. data/lib/rggen/input_base/loader.rb +0 -23
  215. data/lib/rggen/input_base/regexp_patterns.rb +0 -29
  216. data/lib/rggen/option_switches.rb +0 -60
  217. data/lib/rggen/options.rb +0 -97
  218. data/lib/rggen/output_base/code_generator.rb +0 -36
  219. data/lib/rggen/output_base/component.rb +0 -78
  220. data/lib/rggen/output_base/component_factory.rb +0 -32
  221. data/lib/rggen/output_base/file_writer.rb +0 -36
  222. data/lib/rggen/output_base/item.rb +0 -110
  223. data/lib/rggen/output_base/item_factory.rb +0 -9
  224. data/lib/rggen/output_base/template_engine.rb +0 -24
  225. data/lib/rggen/rggen_home.rb +0 -3
  226. data/ral/LICENSE +0 -21
  227. data/ral/compile.f +0 -2
  228. data/ral/rggen_ral_block.svh +0 -83
  229. data/ral/rggen_ral_field.svh +0 -47
  230. data/ral/rggen_ral_field_rwl_rwe.svh +0 -158
  231. data/ral/rggen_ral_indirect_reg.svh +0 -193
  232. data/ral/rggen_ral_macros.svh +0 -27
  233. data/ral/rggen_ral_map.svh +0 -124
  234. data/ral/rggen_ral_pkg.sv +0 -15
  235. data/ral/rggen_ral_reg.svh +0 -88
  236. data/rtl/LICENSE +0 -21
  237. data/rtl/compile.f +0 -18
  238. data/rtl/rggen_address_decoder.sv +0 -23
  239. data/rtl/rggen_apb_if.sv +0 -41
  240. data/rtl/rggen_axi4lite_if.sv +0 -68
  241. data/rtl/rggen_bit_field_if.sv +0 -28
  242. data/rtl/rggen_bit_field_ro.sv +0 -9
  243. data/rtl/rggen_bit_field_rw.sv +0 -25
  244. data/rtl/rggen_bit_field_rwl_rwe.sv +0 -29
  245. data/rtl/rggen_bit_field_w01s_w01c.sv +0 -55
  246. data/rtl/rggen_bus_if.sv +0 -43
  247. data/rtl/rggen_bus_splitter.sv +0 -86
  248. data/rtl/rggen_default_register.sv +0 -15
  249. data/rtl/rggen_external_register.sv +0 -83
  250. data/rtl/rggen_host_if_apb.sv +0 -29
  251. data/rtl/rggen_host_if_axi4lite.sv +0 -161
  252. data/rtl/rggen_indirect_register.sv +0 -21
  253. data/rtl/rggen_register_base.sv +0 -57
  254. data/rtl/rggen_register_if.sv +0 -42
  255. data/rtl/rggen_rtl_pkg.sv +0 -23
  256. data/sample/LICENSE +0 -21
  257. data/sample/sample.csv +0 -21
  258. data/sample/sample.json +0 -6
  259. data/sample/sample.xls +0 -0
  260. data/sample/sample.xlsx +0 -0
  261. data/sample/sample.yaml +0 -4
  262. data/sample/sample_0.h +0 -17
  263. data/sample/sample_0.sv +0 -402
  264. data/sample/sample_0_ral_pkg.sv +0 -145
  265. data/sample/sample_1.h +0 -9
  266. data/sample/sample_1.sv +0 -128
  267. data/sample/sample_1_ral_pkg.sv +0 -56
  268. data/sample/sample_setup.rb +0 -24
  269. data/setup/default.rb +0 -14
@@ -1,97 +0,0 @@
1
- module RgGen
2
- module CodeUtility
3
- class SourceFile
4
- include CodeUtility
5
-
6
- class << self
7
- attr_setter :ifndef_keyword
8
- attr_setter :endif_keyword
9
- attr_setter :define_keyword
10
- attr_setter :include_keyword
11
- end
12
-
13
- def initialize(path)
14
- @path = path
15
- yield(self) if block_given?
16
- end
17
-
18
- attr_reader :path
19
-
20
- def header(&block)
21
- @header_block ||= block
22
- end
23
-
24
- def include_guard(prefix = nil, suffix = prefix, &block)
25
- @guard_macro ||= (
26
- block || method(:default_guard_macro)
27
- ).call(prefix, suffix)
28
- end
29
-
30
- def include_file(file)
31
- include_files << file
32
- end
33
-
34
- def body(&block)
35
- @body_block ||= block
36
- end
37
-
38
- def to_code
39
- code_block do |c|
40
- blocks.each { |b| generate_code(c, b) }
41
- end
42
- end
43
-
44
- def to_s
45
- to_code.to_s
46
- end
47
-
48
- private
49
-
50
- def blocks
51
- [
52
- @header_block,
53
- include_guard_header,
54
- include_files_block,
55
- @body_block,
56
- include_guard_footer
57
- ].compact
58
- end
59
-
60
- def include_files
61
- @include_files ||= []
62
- end
63
-
64
- def default_guard_macro(prefix, suffix)
65
- "#{prefix}#{path.basename.to_s.upcase.gsub(/\W/, '_')}#{suffix}"
66
- end
67
-
68
- def include_guard_header
69
- @guard_macro && lambda do |c|
70
- c << "#{self.class.ifndef_keyword} #{@guard_macro}" << nl
71
- c << "#{self.class.define_keyword} #{@guard_macro}" << nl
72
- end
73
- end
74
-
75
- def include_guard_footer
76
- @guard_macro && ->(c) { c << self.class.endif_keyword << nl }
77
- end
78
-
79
- def include_files_block
80
- @include_files && lambda do |c|
81
- include_files.each do |f|
82
- c << "#{self.class.include_keyword} #{f.to_s.quote}" << nl
83
- end
84
- end
85
- end
86
-
87
- def generate_code(code, block)
88
- if block.arity.zero?
89
- code << block.call
90
- else
91
- block.call(code)
92
- end
93
- code << nl unless code.last_line_empty?
94
- end
95
- end
96
- end
97
- end
@@ -1,23 +0,0 @@
1
- module RgGen
2
- module Configuration
3
- class ConfigurationFactory < InputBase::ComponentFactory
4
- def create_active_items(configuration, hash)
5
- active_item_factories.each do |name, factory|
6
- create_item(factory, configuration, hash[name])
7
- end
8
- end
9
-
10
- def load(file)
11
- return {} if file.nil? || file.empty?
12
-
13
- data = load_file(file)
14
- if data.is_a?(Hash)
15
- data.symbolize_keys!
16
- else
17
- message = "Hash type required for configuration: #{data.class}"
18
- raise RgGen::LoadError, message
19
- end
20
- end
21
- end
22
- end
23
- end
@@ -1,11 +0,0 @@
1
- module RgGen
2
- module Configuration
3
- class Item < InputBase::Item
4
- include RaiseError
5
-
6
- def configuration
7
- @owner
8
- end
9
- end
10
- end
11
- end
@@ -1,20 +0,0 @@
1
- module RgGen
2
- module Configuration
3
- class ItemFactory < InputBase::ItemFactory
4
- include RaiseError
5
-
6
- def create(configuration, data = nil)
7
- data &&= convert(data)
8
- create_item(configuration, data) do |item|
9
- item.build(data) unless data.nil?
10
- end
11
- end
12
-
13
- private
14
-
15
- def convert(data)
16
- data
17
- end
18
- end
19
- end
20
- end
@@ -1,11 +0,0 @@
1
- module RgGen
2
- module Configuration
3
- module RaiseError
4
- private
5
-
6
- def error(message = nil)
7
- raise RgGen::ConfigurationError, message
8
- end
9
- end
10
- end
11
- end
@@ -1,14 +0,0 @@
1
- module RgGen
2
- module Configuration
3
- input_component_store :configuration do
4
- entry do
5
- component_class InputBase::Component
6
- component_factory ConfigurationFactory
7
- item_base Item
8
- item_factory ItemFactory
9
- end
10
-
11
- loader_base InputBase::Loader
12
- end
13
- end
14
- end
@@ -1,15 +0,0 @@
1
- module RgGen
2
- class ERBEngine < OutputBase::TemplateEngine
3
- def file_extension
4
- :erb
5
- end
6
-
7
- def parse_template(path)
8
- Erubi::Engine.new(File.read(path), filename: path)
9
- end
10
-
11
- def render(context, template)
12
- context.instance_eval(template.src, template.filename, 1)
13
- end
14
- end
15
- end
@@ -1,24 +0,0 @@
1
- module RgGen
2
- module RAL
3
- class Component < OutputBase::Component
4
- def build
5
- super
6
- @items.each do |item|
7
- def_object_delegators(item, *item.identifiers)
8
- end
9
- end
10
-
11
- def variable_declarations(domain)
12
- [*@items, *@children].flat_map do |item_or_child|
13
- item_or_child.variable_declarations(domain)
14
- end
15
- end
16
-
17
- def parameter_declarations(domain)
18
- [*@items, *@children].flat_map do |item_or_child|
19
- item_or_child.parameter_declarations(domain)
20
- end
21
- end
22
- end
23
- end
24
- end
@@ -1,59 +0,0 @@
1
- module RgGen
2
- module RAL
3
- class Item < OutputBase::Item
4
- include VerilogUtility
5
- template_engine ERBEngine
6
-
7
- def initialize(owner)
8
- super(owner)
9
- @identifiers = []
10
- @variable_declarations = Hash.new { |h, d| h[d] = [] }
11
- @parameter_declarations = Hash.new { |h, d| h[d] = [] }
12
- end
13
-
14
- attr_reader :identifiers
15
-
16
- class << self
17
- private
18
- def define_declaration_method(method_name)
19
- define_method(method_name) do |domain, handle_name, attributes = {}|
20
- attributes[:name] ||= handle_name
21
- declaration = create_declaration(method_name, attributes)
22
- add_declaration(method_name, domain, declaration)
23
- add_identifier(handle_name, declaration.identifier)
24
- end
25
- end
26
- end
27
-
28
- define_declaration_method :variable
29
- define_declaration_method :parameter
30
-
31
- def variable_declarations(domain = nil)
32
- domain || (return @variable_declarations)
33
- @variable_declarations[domain]
34
- end
35
-
36
- def parameter_declarations(domain = nil)
37
- domain || (return @parameter_declarations)
38
- @parameter_declarations[domain]
39
- end
40
-
41
- private
42
-
43
- def create_declaration(type, attributes)
44
- __send__("#{type}_declaration", attributes)
45
- end
46
-
47
- def add_identifier(handle_name, identifier)
48
- instance_variable_set(handle_name.variablize, identifier)
49
- attr_singleton_reader(handle_name)
50
- identifiers << handle_name
51
- end
52
-
53
- def add_declaration(type, domain, declaration)
54
- list = instance_variable_get("@#{type}_declarations")
55
- list[domain] << declaration
56
- end
57
- end
58
- end
59
- end
@@ -1,19 +0,0 @@
1
- module RgGen
2
- module RAL
3
- output_component_store :ral do
4
- entry do
5
- component_class OutputBase::Component
6
- component_factory OutputBase::ComponentFactory
7
- end
8
-
9
- entry [:register_block, :register, :bit_field] do
10
- component_class Component
11
- component_factory OutputBase::ComponentFactory
12
- item_base Item
13
- item_factory OutputBase::ItemFactory
14
- end
15
-
16
- output_directory 'ral'
17
- end
18
- end
19
- end
@@ -1,11 +0,0 @@
1
- module RgGen
2
- module RegisterMap
3
- class BitFieldFactory < ComponentFactory
4
- def create_active_items(bit_field, cells)
5
- active_item_factories.each_value.with_index do |factory, index|
6
- create_item(factory, bit_field, cells[index])
7
- end
8
- end
9
- end
10
- end
11
- end
@@ -1,15 +0,0 @@
1
- module RgGen
2
- module RegisterMap
3
- class Component < InputBase::Component
4
- include Base::HierarchicalAccessors
5
-
6
- def initialize(parent, configuration)
7
- super(parent)
8
- @configuration = configuration
9
- define_hierarchical_accessors
10
- end
11
-
12
- attr_reader :configuration
13
- end
14
- end
15
- end
@@ -1,9 +0,0 @@
1
- module RgGen
2
- module RegisterMap
3
- class ComponentFactory < InputBase::ComponentFactory
4
- def create_component(parent, configuration, _)
5
- @target_component.new(parent, configuration)
6
- end
7
- end
8
- end
9
- end
@@ -1,80 +0,0 @@
1
- module RgGen
2
- module RegisterMap
3
- class GenericMap
4
- class Cell
5
- Position = Struct.new(:file, :sheet, :row, :column) do
6
- def to_s
7
- [
8
- "file: #{file}",
9
- "sheet: #{sheet}",
10
- "row: #{row}",
11
- "column: #{column}"
12
- ].join(' ')
13
- end
14
- end
15
-
16
- def initialize(file, sheet, row, column)
17
- @position = Position.new(file, sheet, row, column)
18
- end
19
-
20
- attr_accessor :value
21
- attr_reader :position
22
-
23
- def empty?
24
- return true if value.nil?
25
- return false unless value.respond_to?(:empty?)
26
- value.empty?
27
- end
28
- end
29
-
30
- class Sheet
31
- def initialize(file, name)
32
- @file = file
33
- @name = name
34
- @rows = []
35
- end
36
-
37
- attr_reader :name
38
- attr_reader :rows
39
-
40
- def [](row, column)
41
- rows[row] ||= []
42
- rows[row][column] ||= Cell.new(@file, name, row, column)
43
- end
44
-
45
- def []=(row, column, value)
46
- self[row, column].value = value
47
- end
48
- end
49
-
50
- def initialize(file)
51
- @file = file
52
- @sheets = {}
53
- end
54
-
55
- attr_reader :file
56
-
57
- def [](sheet_name_or_index)
58
- case sheet_name_or_index
59
- when String
60
- @sheets[sheet_name_or_index] ||= Sheet.new(file, sheet_name_or_index)
61
- when Integer
62
- sheets[sheet_name_or_index]
63
- end
64
- end
65
-
66
- def []=(sheet_name, table)
67
- @sheets[sheet_name] = Sheet.new(file, sheet_name)
68
- table.each_with_index do |values, row|
69
- values.each_with_index do |value, column|
70
- @sheets[sheet_name][row, column] = value
71
- end
72
- end
73
- end
74
-
75
- def sheets
76
- @sheets.values
77
- end
78
- end
79
- end
80
- end
@@ -1,26 +0,0 @@
1
- module RgGen
2
- module RegisterMap
3
- class Item < InputBase::Item
4
- include Base::HierarchicalItemAccessors
5
- include RaiseError
6
-
7
- attr_reader :position
8
-
9
- def initialize(owner)
10
- super(owner)
11
- define_hierarchical_item_accessors
12
- end
13
-
14
- def build(cell)
15
- @position = cell.position
16
- super(cell.value)
17
- end
18
-
19
- private
20
-
21
- def configuration
22
- @owner.configuration
23
- end
24
- end
25
- end
26
- end