rggen 0.8.2 → 0.9.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (269) hide show
  1. checksums.yaml +4 -4
  2. data/CODE_OF_CONDUCT.md +54 -29
  3. data/{LICENSE.txt → LICENSE} +1 -1
  4. data/README.md +65 -56
  5. data/lib/rggen.rb +4 -63
  6. data/lib/rggen/built_in.rb +53 -0
  7. data/lib/rggen/built_in/bit_field/bit_assignment.rb +108 -0
  8. data/lib/rggen/built_in/bit_field/comment.rb +16 -0
  9. data/lib/rggen/built_in/bit_field/initial_value.rb +45 -0
  10. data/lib/rggen/built_in/bit_field/name.rb +39 -0
  11. data/lib/rggen/built_in/bit_field/reference.rb +100 -0
  12. data/lib/rggen/built_in/bit_field/sv_rtl_top.rb +87 -0
  13. data/lib/rggen/built_in/bit_field/type.rb +279 -0
  14. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb +15 -0
  15. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb +68 -0
  16. data/lib/rggen/built_in/bit_field/type/reserved.erb +3 -0
  17. data/lib/rggen/built_in/bit_field/type/reserved.rb +16 -0
  18. data/lib/rggen/built_in/bit_field/type/ro.erb +6 -0
  19. data/lib/rggen/built_in/bit_field/type/ro.rb +34 -0
  20. data/lib/rggen/built_in/bit_field/type/rof.erb +6 -0
  21. data/lib/rggen/built_in/bit_field/type/rof.rb +17 -0
  22. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb +13 -0
  23. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb +52 -0
  24. data/lib/rggen/built_in/bit_field/type/rw_wo.erb +9 -0
  25. data/lib/rggen/built_in/bit_field/type/rw_wo.rb +33 -0
  26. data/lib/rggen/built_in/bit_field/type/rwe_rwl.erb +14 -0
  27. data/lib/rggen/built_in/bit_field/type/rwe_rwl.rb +39 -0
  28. data/lib/rggen/built_in/global/address_width.rb +32 -0
  29. data/lib/rggen/built_in/global/array_port_format.rb +19 -0
  30. data/lib/rggen/built_in/global/bus_width.rb +33 -0
  31. data/lib/rggen/built_in/global/fold_sv_interface_port.rb +24 -0
  32. data/lib/rggen/built_in/register/name.rb +34 -0
  33. data/lib/rggen/built_in/register/offset_address.rb +96 -0
  34. data/lib/rggen/built_in/register/size.rb +49 -0
  35. data/lib/rggen/built_in/register/sv_rtl_top.rb +82 -0
  36. data/lib/rggen/built_in/register/type.rb +374 -0
  37. data/lib/rggen/built_in/register/type/default_sv_ral.erb +8 -0
  38. data/lib/rggen/built_in/register/type/default_sv_rtl.erb +15 -0
  39. data/lib/rggen/built_in/register/type/external.erb +11 -0
  40. data/lib/rggen/built_in/register/type/external.rb +141 -0
  41. data/lib/rggen/built_in/register/type/indirect.rb +329 -0
  42. data/lib/rggen/built_in/register/type/indirect_sv_ral.erb +13 -0
  43. data/lib/rggen/built_in/register/type/indirect_sv_rtl.erb +17 -0
  44. data/lib/rggen/built_in/register_block/byte_size.rb +59 -0
  45. data/lib/rggen/built_in/register_block/name.rb +36 -0
  46. data/lib/rggen/built_in/register_block/protocol.rb +71 -0
  47. data/lib/rggen/built_in/register_block/protocol/apb.erb +10 -0
  48. data/lib/rggen/built_in/register_block/protocol/apb.rb +113 -0
  49. data/lib/rggen/built_in/register_block/protocol/axi4lite.erb +11 -0
  50. data/lib/rggen/built_in/register_block/protocol/axi4lite.rb +167 -0
  51. data/lib/rggen/built_in/register_block/sv_ral_block_model.erb +11 -0
  52. data/lib/rggen/built_in/register_block/sv_ral_package.rb +58 -0
  53. data/lib/rggen/built_in/register_block/sv_rtl_macros.erb +9 -0
  54. data/lib/rggen/built_in/register_block/sv_rtl_top.rb +87 -0
  55. data/lib/rggen/built_in/version.rb +7 -0
  56. data/lib/rggen/default_setup_file.rb +6 -0
  57. data/lib/rggen/setup/default.rb +26 -0
  58. data/lib/rggen/version.rb +5 -4
  59. data/sample/block_0.rb +85 -0
  60. data/sample/block_0.sv +601 -0
  61. data/sample/block_0.xlsx +0 -0
  62. data/sample/block_0.yml +94 -0
  63. data/sample/block_0_ral_pkg.sv +174 -0
  64. data/sample/block_1.rb +22 -0
  65. data/sample/block_1.sv +136 -0
  66. data/sample/block_1.xlsx +0 -0
  67. data/sample/block_1.yml +26 -0
  68. data/sample/block_1_ral_pkg.sv +68 -0
  69. data/sample/config.json +5 -0
  70. data/sample/config.yml +3 -0
  71. metadata +96 -270
  72. data/bin/rggen +0 -6
  73. data/c_header/LICENSE +0 -21
  74. data/c_header/rggen.h +0 -17
  75. data/lib/rggen/base/component.rb +0 -31
  76. data/lib/rggen/base/component_factory.rb +0 -53
  77. data/lib/rggen/base/hierarchical_accessors.rb +0 -87
  78. data/lib/rggen/base/hierarchical_item_accessors.rb +0 -79
  79. data/lib/rggen/base/internal_struct.rb +0 -28
  80. data/lib/rggen/base/item.rb +0 -35
  81. data/lib/rggen/base/item_factory.rb +0 -25
  82. data/lib/rggen/builder/builder.rb +0 -69
  83. data/lib/rggen/builder/category.rb +0 -63
  84. data/lib/rggen/builder/component_entry.rb +0 -50
  85. data/lib/rggen/builder/component_store.rb +0 -42
  86. data/lib/rggen/builder/input_component_store.rb +0 -25
  87. data/lib/rggen/builder/item_store.rb +0 -89
  88. data/lib/rggen/builder/list_item_entry.rb +0 -81
  89. data/lib/rggen/builder/output_component_store.rb +0 -13
  90. data/lib/rggen/builder/simple_item_entry.rb +0 -33
  91. data/lib/rggen/builtins.rb +0 -55
  92. data/lib/rggen/builtins/bit_field/bit_assignment.rb +0 -39
  93. data/lib/rggen/builtins/bit_field/field_model.rb +0 -38
  94. data/lib/rggen/builtins/bit_field/initial_value.rb +0 -36
  95. data/lib/rggen/builtins/bit_field/name.rb +0 -26
  96. data/lib/rggen/builtins/bit_field/reference.rb +0 -40
  97. data/lib/rggen/builtins/bit_field/rtl_top.rb +0 -11
  98. data/lib/rggen/builtins/bit_field/type.rb +0 -244
  99. data/lib/rggen/builtins/bit_field/types/reserved.erb +0 -6
  100. data/lib/rggen/builtins/bit_field/types/reserved.rb +0 -18
  101. data/lib/rggen/builtins/bit_field/types/ro.erb +0 -6
  102. data/lib/rggen/builtins/bit_field/types/ro.rb +0 -22
  103. data/lib/rggen/builtins/bit_field/types/rw.erb +0 -9
  104. data/lib/rggen/builtins/bit_field/types/rw.rb +0 -23
  105. data/lib/rggen/builtins/bit_field/types/rwl_rwe.erb +0 -11
  106. data/lib/rggen/builtins/bit_field/types/rwl_rwe.rb +0 -54
  107. data/lib/rggen/builtins/bit_field/types/w0c_w1c.erb +0 -12
  108. data/lib/rggen/builtins/bit_field/types/w0c_w1c.rb +0 -33
  109. data/lib/rggen/builtins/bit_field/types/w0s_w1s.erb +0 -12
  110. data/lib/rggen/builtins/bit_field/types/w0s_w1s.rb +0 -33
  111. data/lib/rggen/builtins/bit_field/types/wo.rb +0 -5
  112. data/lib/rggen/builtins/global/address_width.rb +0 -17
  113. data/lib/rggen/builtins/global/array_port_format.rb +0 -15
  114. data/lib/rggen/builtins/global/data_width.rb +0 -20
  115. data/lib/rggen/builtins/global/unfold_sv_interface_port.rb +0 -22
  116. data/lib/rggen/builtins/loaders/configuration/json_loader.rb +0 -7
  117. data/lib/rggen/builtins/loaders/configuration/yaml_loader.rb +0 -7
  118. data/lib/rggen/builtins/loaders/register_map/csv_loader.rb +0 -12
  119. data/lib/rggen/builtins/loaders/register_map/xls_loader.rb +0 -17
  120. data/lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb +0 -21
  121. data/lib/rggen/builtins/register/array.rb +0 -30
  122. data/lib/rggen/builtins/register/constructor.rb +0 -17
  123. data/lib/rggen/builtins/register/field_model_creator.rb +0 -14
  124. data/lib/rggen/builtins/register/indirect_index_configurator.rb +0 -54
  125. data/lib/rggen/builtins/register/name.rb +0 -26
  126. data/lib/rggen/builtins/register/offset_address.rb +0 -61
  127. data/lib/rggen/builtins/register/reg_model.rb +0 -107
  128. data/lib/rggen/builtins/register/rtl_top.rb +0 -68
  129. data/lib/rggen/builtins/register/sub_block_model.rb +0 -34
  130. data/lib/rggen/builtins/register/type.rb +0 -283
  131. data/lib/rggen/builtins/register/types/default.erb +0 -10
  132. data/lib/rggen/builtins/register/types/external.erb +0 -11
  133. data/lib/rggen/builtins/register/types/external.rb +0 -77
  134. data/lib/rggen/builtins/register/types/indirect.erb +0 -13
  135. data/lib/rggen/builtins/register/types/indirect.rb +0 -175
  136. data/lib/rggen/builtins/register/uniqueness_validator.rb +0 -51
  137. data/lib/rggen/builtins/register_block/address_struct.rb +0 -56
  138. data/lib/rggen/builtins/register_block/base_address.rb +0 -64
  139. data/lib/rggen/builtins/register_block/block_model.rb +0 -20
  140. data/lib/rggen/builtins/register_block/byte_size.rb +0 -37
  141. data/lib/rggen/builtins/register_block/c_header_file.rb +0 -15
  142. data/lib/rggen/builtins/register_block/clock_reset.rb +0 -8
  143. data/lib/rggen/builtins/register_block/constructor.rb +0 -14
  144. data/lib/rggen/builtins/register_block/default_map_creator.rb +0 -39
  145. data/lib/rggen/builtins/register_block/host_if.rb +0 -64
  146. data/lib/rggen/builtins/register_block/host_ifs/apb.erb +0 -10
  147. data/lib/rggen/builtins/register_block/host_ifs/apb.rb +0 -64
  148. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.erb +0 -11
  149. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.rb +0 -93
  150. data/lib/rggen/builtins/register_block/name.rb +0 -26
  151. data/lib/rggen/builtins/register_block/ral_package.rb +0 -24
  152. data/lib/rggen/builtins/register_block/rtl_top.rb +0 -38
  153. data/lib/rggen/builtins/register_block/sub_model_creator.rb +0 -14
  154. data/lib/rggen/commands.rb +0 -23
  155. data/lib/rggen/core_components.rb +0 -54
  156. data/lib/rggen/core_components/c_header/item.rb +0 -8
  157. data/lib/rggen/core_components/c_header/setup.rb +0 -19
  158. data/lib/rggen/core_components/c_utility.rb +0 -19
  159. data/lib/rggen/core_components/c_utility/data_structure_definition.rb +0 -60
  160. data/lib/rggen/core_components/c_utility/source_file.rb +0 -10
  161. data/lib/rggen/core_components/c_utility/variable_declaration.rb +0 -35
  162. data/lib/rggen/core_components/code_utility.rb +0 -56
  163. data/lib/rggen/core_components/code_utility/code_block.rb +0 -72
  164. data/lib/rggen/core_components/code_utility/line.rb +0 -28
  165. data/lib/rggen/core_components/code_utility/source_file.rb +0 -97
  166. data/lib/rggen/core_components/configuration/configuration_factory.rb +0 -23
  167. data/lib/rggen/core_components/configuration/item.rb +0 -11
  168. data/lib/rggen/core_components/configuration/item_factory.rb +0 -20
  169. data/lib/rggen/core_components/configuration/raise_error.rb +0 -11
  170. data/lib/rggen/core_components/configuration/setup.rb +0 -14
  171. data/lib/rggen/core_components/erb_engine.rb +0 -15
  172. data/lib/rggen/core_components/ral/component.rb +0 -24
  173. data/lib/rggen/core_components/ral/item.rb +0 -59
  174. data/lib/rggen/core_components/ral/setup.rb +0 -19
  175. data/lib/rggen/core_components/register_map/bit_field_factory.rb +0 -11
  176. data/lib/rggen/core_components/register_map/component.rb +0 -15
  177. data/lib/rggen/core_components/register_map/component_factory.rb +0 -9
  178. data/lib/rggen/core_components/register_map/generic_map.rb +0 -80
  179. data/lib/rggen/core_components/register_map/item.rb +0 -26
  180. data/lib/rggen/core_components/register_map/item_factory.rb +0 -26
  181. data/lib/rggen/core_components/register_map/loader.rb +0 -11
  182. data/lib/rggen/core_components/register_map/raise_error.rb +0 -17
  183. data/lib/rggen/core_components/register_map/register_block_factory.rb +0 -29
  184. data/lib/rggen/core_components/register_map/register_factory.rb +0 -18
  185. data/lib/rggen/core_components/register_map/register_map_factory.rb +0 -18
  186. data/lib/rggen/core_components/register_map/setup.rb +0 -33
  187. data/lib/rggen/core_components/rtl/component.rb +0 -24
  188. data/lib/rggen/core_components/rtl/item.rb +0 -82
  189. data/lib/rggen/core_components/rtl/setup.rb +0 -19
  190. data/lib/rggen/core_components/verilog_utility.rb +0 -88
  191. data/lib/rggen/core_components/verilog_utility/class_definition.rb +0 -56
  192. data/lib/rggen/core_components/verilog_utility/identifier.rb +0 -78
  193. data/lib/rggen/core_components/verilog_utility/interface_instance.rb +0 -37
  194. data/lib/rggen/core_components/verilog_utility/interface_port.rb +0 -33
  195. data/lib/rggen/core_components/verilog_utility/local_scope.rb +0 -75
  196. data/lib/rggen/core_components/verilog_utility/module_definition.rb +0 -82
  197. data/lib/rggen/core_components/verilog_utility/package_definition.rb +0 -57
  198. data/lib/rggen/core_components/verilog_utility/source_file.rb +0 -10
  199. data/lib/rggen/core_components/verilog_utility/structure_definition.rb +0 -51
  200. data/lib/rggen/core_components/verilog_utility/subroutine_definition.rb +0 -41
  201. data/lib/rggen/core_components/verilog_utility/variable.rb +0 -115
  202. data/lib/rggen/core_extensions/array.rb +0 -9
  203. data/lib/rggen/core_extensions/facets.rb +0 -22
  204. data/lib/rggen/core_extensions/forwardable.rb +0 -49
  205. data/lib/rggen/core_extensions/integer.rb +0 -5
  206. data/lib/rggen/core_extensions/math.rb +0 -7
  207. data/lib/rggen/core_extensions/roo.rb +0 -17
  208. data/lib/rggen/exceptions.rb +0 -28
  209. data/lib/rggen/generator.rb +0 -67
  210. data/lib/rggen/input_base/component.rb +0 -28
  211. data/lib/rggen/input_base/component_factory.rb +0 -58
  212. data/lib/rggen/input_base/item.rb +0 -171
  213. data/lib/rggen/input_base/item_factory.rb +0 -13
  214. data/lib/rggen/input_base/loader.rb +0 -23
  215. data/lib/rggen/input_base/regexp_patterns.rb +0 -29
  216. data/lib/rggen/option_switches.rb +0 -60
  217. data/lib/rggen/options.rb +0 -97
  218. data/lib/rggen/output_base/code_generator.rb +0 -36
  219. data/lib/rggen/output_base/component.rb +0 -78
  220. data/lib/rggen/output_base/component_factory.rb +0 -32
  221. data/lib/rggen/output_base/file_writer.rb +0 -36
  222. data/lib/rggen/output_base/item.rb +0 -110
  223. data/lib/rggen/output_base/item_factory.rb +0 -9
  224. data/lib/rggen/output_base/template_engine.rb +0 -24
  225. data/lib/rggen/rggen_home.rb +0 -3
  226. data/ral/LICENSE +0 -21
  227. data/ral/compile.f +0 -2
  228. data/ral/rggen_ral_block.svh +0 -83
  229. data/ral/rggen_ral_field.svh +0 -47
  230. data/ral/rggen_ral_field_rwl_rwe.svh +0 -158
  231. data/ral/rggen_ral_indirect_reg.svh +0 -193
  232. data/ral/rggen_ral_macros.svh +0 -27
  233. data/ral/rggen_ral_map.svh +0 -124
  234. data/ral/rggen_ral_pkg.sv +0 -15
  235. data/ral/rggen_ral_reg.svh +0 -88
  236. data/rtl/LICENSE +0 -21
  237. data/rtl/compile.f +0 -18
  238. data/rtl/rggen_address_decoder.sv +0 -23
  239. data/rtl/rggen_apb_if.sv +0 -41
  240. data/rtl/rggen_axi4lite_if.sv +0 -68
  241. data/rtl/rggen_bit_field_if.sv +0 -28
  242. data/rtl/rggen_bit_field_ro.sv +0 -9
  243. data/rtl/rggen_bit_field_rw.sv +0 -25
  244. data/rtl/rggen_bit_field_rwl_rwe.sv +0 -29
  245. data/rtl/rggen_bit_field_w01s_w01c.sv +0 -55
  246. data/rtl/rggen_bus_if.sv +0 -43
  247. data/rtl/rggen_bus_splitter.sv +0 -86
  248. data/rtl/rggen_default_register.sv +0 -15
  249. data/rtl/rggen_external_register.sv +0 -83
  250. data/rtl/rggen_host_if_apb.sv +0 -29
  251. data/rtl/rggen_host_if_axi4lite.sv +0 -161
  252. data/rtl/rggen_indirect_register.sv +0 -21
  253. data/rtl/rggen_register_base.sv +0 -57
  254. data/rtl/rggen_register_if.sv +0 -42
  255. data/rtl/rggen_rtl_pkg.sv +0 -23
  256. data/sample/LICENSE +0 -21
  257. data/sample/sample.csv +0 -21
  258. data/sample/sample.json +0 -6
  259. data/sample/sample.xls +0 -0
  260. data/sample/sample.xlsx +0 -0
  261. data/sample/sample.yaml +0 -4
  262. data/sample/sample_0.h +0 -17
  263. data/sample/sample_0.sv +0 -402
  264. data/sample/sample_0_ral_pkg.sv +0 -145
  265. data/sample/sample_1.h +0 -9
  266. data/sample/sample_1.sv +0 -128
  267. data/sample/sample_1_ral_pkg.sv +0 -56
  268. data/sample/sample_setup.rb +0 -24
  269. data/setup/default.rb +0 -14
@@ -1,20 +0,0 @@
1
- simple_item :register_block, :block_model do
2
- ral do
3
- generate_code :package_item do
4
- class_definition model_name do |c|
5
- c.base :rggen_ral_block
6
- c.variables register_block.variable_declarations(:block_model)
7
- c.parameters register_block.parameter_declarations(:block_model)
8
- c.body { |code| body_code(code) }
9
- end
10
- end
11
-
12
- def model_name
13
- "#{register_block.name}_block_model"
14
- end
15
-
16
- def body_code(code)
17
- register_block.generate_code(:block_model_item, :top_down, code)
18
- end
19
- end
20
- end
@@ -1,37 +0,0 @@
1
- simple_item :register_block, :byte_size do
2
- register_map do
3
- field :byte_size
4
- field :local_address_width
5
-
6
- build do |cell|
7
- begin
8
- @byte_size = Integer(cell)
9
- rescue
10
- error "invalid value for byte size: #{cell.inspect}"
11
- end
12
-
13
- case
14
- when @byte_size.not.positive?
15
- error "zero or negative value is not allowed for byte size: #{cell}"
16
- when @byte_size.not.multiple?(configuration.byte_width)
17
- error 'not aligned with data width' \
18
- "(#{configuration.data_width}): #{cell}"
19
- when total_byte_size > upper_bound
20
- error 'exceeds upper bound of total byte size' \
21
- "(#{upper_bound}): #{total_byte_size}"
22
- end
23
-
24
- @local_address_width = Math.clog2(@byte_size)
25
- end
26
-
27
- def upper_bound
28
- 2**configuration.address_width
29
- end
30
-
31
- def total_byte_size
32
- register_map.register_blocks.inject(@byte_size) do |total, block|
33
- total + block.byte_size
34
- end
35
- end
36
- end
37
- end
@@ -1,15 +0,0 @@
1
- simple_item :register_block, :c_header_file do
2
- c_header do
3
- delegate [:name] => :register_block
4
-
5
- write_file '<%= name %>.h' do |f|
6
- f.include_guard
7
- f.include_file 'rggen.h'
8
- f.body { |code| source_file_body(code) }
9
- end
10
-
11
- def source_file_body(code)
12
- register_block.generate_code(:c_header_item, :top_down, code)
13
- end
14
- end
15
- end
@@ -1,8 +0,0 @@
1
- simple_item :register_block, :clock_reset do
2
- rtl do
3
- build do
4
- input :register_block, :clock, name: 'clk' , data_type: :logic, width: 1
5
- input :register_block, :reset, name: 'rst_n', data_type: :logic, width: 1
6
- end
7
- end
8
- end
@@ -1,14 +0,0 @@
1
- simple_item :register_block, :constructor do
2
- ral do
3
- delegate [:name] => :register_block
4
-
5
- generate_code :block_model_item do
6
- function_definition :new do |f|
7
- f.arguments [
8
- argument(:name, data_type: :string, default: string(name))
9
- ]
10
- f.body { 'super.new(name);' }
11
- end
12
- end
13
- end
14
- end
@@ -1,39 +0,0 @@
1
- simple_item :register_block, :default_map_creator do
2
- ral do
3
- generate_code :block_model_item do
4
- function_definition :create_default_map do |f|
5
- f.return_type :uvm_reg_map
6
- f.body do |code|
7
- code << :return
8
- code << space
9
- code << subroutine_call(:create_map, arguments)
10
- code << semicolon
11
- end
12
- end
13
- end
14
-
15
- def arguments
16
- [name, base_address, n_bytes, endian, byte_addressing]
17
- end
18
-
19
- def name
20
- string(:default_map)
21
- end
22
-
23
- def base_address
24
- 0
25
- end
26
-
27
- def n_bytes
28
- configuration.byte_width
29
- end
30
-
31
- def endian
32
- :UVM_LITTLE_ENDIAN
33
- end
34
-
35
- def byte_addressing
36
- 1
37
- end
38
- end
39
- end
@@ -1,64 +0,0 @@
1
- list_item :register_block, :host_if do
2
- shared_context do
3
- attr_accessor :enabled_host_ifs
4
- end
5
-
6
- configuration do
7
- item_base do
8
- field :host_if do
9
- @host_if || shared_context.enabled_host_ifs.first
10
- end
11
-
12
- build do |value|
13
- @host_if = value
14
- end
15
- end
16
-
17
- default_item do
18
- end
19
-
20
- factory do
21
- def select_target_item(value)
22
- @target_items[value]
23
- end
24
-
25
- def convert(value)
26
- find_host_if(value) do
27
- error "unknown host interface: #{value}"
28
- end
29
- end
30
-
31
- def find_host_if(value, &ifnone)
32
- shared_context.enabled_host_ifs.find(ifnone) do |host_if|
33
- host_if.to_sym.casecmp(value.to_sym).zero?
34
- end
35
- end
36
- end
37
- end
38
-
39
- rtl do
40
- shared_context.enabled_host_ifs = @enabled_items
41
-
42
- item_base do
43
- delegate [:local_address_width, :clock, :reset] => :register_block
44
- delegate [:data_width, :unfold_sv_interface_port?] => :configuration
45
-
46
- build do
47
- interface :register_block, :register_if,
48
- type: :rggen_register_if,
49
- parameters: [local_address_width, data_width],
50
- dimensions: [total_registers]
51
- end
52
-
53
- def total_registers
54
- register_block.registers.sum(0, &:count)
55
- end
56
- end
57
-
58
- factory do
59
- def select_target_item(configuration, _register_block)
60
- @target_items[configuration.host_if]
61
- end
62
- end
63
- end
64
- end
@@ -1,10 +0,0 @@
1
- rggen_host_if_apb #(
2
- .LOCAL_ADDRESS_WIDTH (<%= local_address_width %>),
3
- .DATA_WIDTH (<%= data_width %>),
4
- .TOTAL_REGISTERS (<%= total_registers %>)
5
- ) u_host_if (
6
- .clk (<%= clock %>),
7
- .rst_n (<%= reset %>),
8
- .apb_if (<%= apb_if %>),
9
- .register_if (<%= register_if %>)
10
- );
@@ -1,64 +0,0 @@
1
- list_item :register_block, :host_if, :apb do
2
- configuration do
3
- validate do
4
- if configuration.address_width > 32
5
- error 'apb supports 32 or less bits address width only' \
6
- ": #{configuration.address_width}"
7
- end
8
- if configuration.data_width > 32
9
- error 'apb supports 32 or less bits data width only' \
10
- ": #{configuration.data_width}"
11
- end
12
- end
13
- end
14
-
15
- rtl do
16
- build do
17
- if unfold_sv_interface_port?
18
- input :register_block, :psel,
19
- name: 'i_psel', data_type: :logic, width: 1
20
- input :register_block, :penable,
21
- name: 'i_penable', data_type: :logic, width: 1
22
- input :register_block, :paddr,
23
- name: 'i_paddr', data_type: :logic, width: local_address_width
24
- input :register_block, :pprot,
25
- name: 'i_pprot', data_type: :logic, width: 3
26
- input :register_block, :pwrite,
27
- name: 'i_pwrite', data_type: :logic, width: 1
28
- input :register_block, :pwdata,
29
- name: 'i_pwdata', data_type: :logic, width: data_width
30
- input :register_block, :pstrb,
31
- name: 'i_pstrb', data_type: :logic, width: data_width / 8
32
- output :register_block, :pready,
33
- name: 'o_pready', data_type: :logic, width: 1
34
- output :register_block, :prdata,
35
- name: 'o_prdata', data_type: :logic, width: data_width
36
- output :register_block, :pslverr,
37
- name: 'o_pslverr', data_type: :logic, width: 1
38
- interface :register_block, :apb_if,
39
- type: :rggen_apb_if, parameters: [local_address_width, data_width]
40
- else
41
- interface_port :register_block, :apb_if,
42
- type: :rggen_apb_if, modport: :slave
43
- end
44
- end
45
-
46
- generate_code :register_block do |code|
47
- unfold_sv_interface_port? && apb_if_assignment(code)
48
- code << process_template
49
- end
50
-
51
- def apb_if_assignment(code)
52
- code << assign("#{apb_if}.psel" , psel ) << nl
53
- code << assign("#{apb_if}.penable", penable) << nl
54
- code << assign("#{apb_if}.paddr" , paddr ) << nl
55
- code << assign("#{apb_if}.pprot" , pprot ) << nl
56
- code << assign("#{apb_if}.pwrite" , pwrite ) << nl
57
- code << assign("#{apb_if}.pwdata" , pwdata ) << nl
58
- code << assign("#{apb_if}.pstrb" , pstrb ) << nl
59
- code << assign(pready , "#{apb_if}.pready" ) << nl
60
- code << assign(prdata , "#{apb_if}.prdata" ) << nl
61
- code << assign(pslverr, "#{apb_if}.pslverr") << nl
62
- end
63
- end
64
- end
@@ -1,11 +0,0 @@
1
- rggen_host_if_axi4lite #(
2
- .LOCAL_ADDRESS_WIDTH (<%= local_address_width %>),
3
- .DATA_WIDTH (<%= data_width %>),
4
- .TOTAL_REGISTERS (<%= total_registers %>),
5
- .ACCESS_PRIORITY (<%= access_priority %>)
6
- ) u_host_if (
7
- .clk (<%= clock %>),
8
- .rst_n (<%= reset %>),
9
- .axi4lite_if (<%= axi4lite_if %>),
10
- .register_if (<%= register_if %>)
11
- );
@@ -1,93 +0,0 @@
1
- list_item :register_block, :host_if, :axi4lite do
2
- configuration do
3
- validate do
4
- unless [32, 64].include?(configuration.data_width)
5
- error 'axi4lite supports either 32 or 64 bits data width only' \
6
- ": #{configuration.data_width}"
7
- end
8
- end
9
- end
10
-
11
- rtl do
12
- build do
13
- parameter :register_block, :access_priority,
14
- name: 'ACCESS_PRIORITY',
15
- data_type: :'rggen_rtl_pkg::rggen_direction',
16
- default: :'rggen_rtl_pkg::RGGEN_WRITE'
17
- if unfold_sv_interface_port?
18
- input :register_block, :awvalid,
19
- name: 'i_awvalid', data_type: :logic, width: 1
20
- output :register_block, :awready,
21
- name: 'o_awready', data_type: :logic, width: 1
22
- input :register_block, :awaddr,
23
- name: 'i_awaddr', data_type: :logic, width: local_address_width
24
- input :register_block, :awprot,
25
- name: 'i_awprot', data_type: :logic, width: 3
26
- input :register_block, :wvalid,
27
- name: 'i_wvalid', data_type: :logic, width: 1
28
- output :register_block, :wready,
29
- name: 'o_wready', data_type: :logic, width: 1
30
- input :register_block, :wdata,
31
- name: 'i_wdata', data_type: :logic, width: data_width
32
- input :register_block, :wstrb,
33
- name: 'i_wstrb', data_type: :logic, width: data_width / 8
34
- output :register_block, :bvalid,
35
- name: 'o_bvalid', data_type: :logic, width: 1
36
- input :register_block, :bready,
37
- name: 'i_bready', data_type: :logic, width: 1
38
- output :register_block, :bresp,
39
- name: 'o_bresp', data_type: :logic, width: 2
40
- input :register_block, :arvalid,
41
- name: 'i_arvalid', data_type: :logic, width: 1
42
- output :register_block, :arready,
43
- name: 'o_arready', data_type: :logic, width: 1
44
- input :register_block, :araddr,
45
- name: 'i_araddr', data_type: :logic, width: local_address_width
46
- input :register_block, :arprot,
47
- name: 'i_arprot', data_type: :logic, width: 3
48
- output :register_block, :rvalid,
49
- name: 'o_rvalid', data_type: :logic, width: 1
50
- input :register_block, :rready,
51
- name: 'i_rready', data_type: :logic, width: 1
52
- output :register_block, :rdata,
53
- name: 'o_rdata', data_type: :logic, width: data_width
54
- output :register_block, :rresp,
55
- name: 'o_rresp', data_type: :logic, width: 2
56
- interface :register_block, :axi4lite_if,
57
- type: :rggen_axi4lite_if,
58
- parameters: [local_address_width, data_width]
59
- else
60
- interface_port :register_block, :axi4lite_if,
61
- type: :rggen_axi4lite_if,
62
- modport: :slave
63
- end
64
- end
65
-
66
- generate_code :register_block do |code|
67
- unfold_sv_interface_port? && axi4lite_if_assignment(code)
68
- code << process_template
69
- end
70
-
71
- def axi4lite_if_assignment(code)
72
- code << assign("#{axi4lite_if}.awvalid", awvalid) << nl
73
- code << assign(awready, "#{axi4lite_if}.awready") << nl
74
- code << assign("#{axi4lite_if}.awaddr" , awaddr ) << nl
75
- code << assign("#{axi4lite_if}.awprot" , awprot ) << nl
76
- code << assign("#{axi4lite_if}.wvalid" , wvalid ) << nl
77
- code << assign(wready , "#{axi4lite_if}.wready" ) << nl
78
- code << assign("#{axi4lite_if}.wdata" , wdata ) << nl
79
- code << assign("#{axi4lite_if}.wstrb" , wstrb ) << nl
80
- code << assign(bvalid , "#{axi4lite_if}.bvalid" ) << nl
81
- code << assign("#{axi4lite_if}.bready" , bready ) << nl
82
- code << assign(bresp , "#{axi4lite_if}.bresp" ) << nl
83
- code << assign("#{axi4lite_if}.arvalid", arvalid) << nl
84
- code << assign(arready, "#{axi4lite_if}.arready") << nl
85
- code << assign("#{axi4lite_if}.araddr" , araddr ) << nl
86
- code << assign("#{axi4lite_if}.arprot" , arprot ) << nl
87
- code << assign(rvalid , "#{axi4lite_if}.rvalid" ) << nl
88
- code << assign("#{axi4lite_if}.rready" , rready ) << nl
89
- code << assign(rdata , "#{axi4lite_if}.rdata" ) << nl
90
- code << assign(rresp , "#{axi4lite_if}.rresp" ) << nl
91
- end
92
- end
93
- end
@@ -1,26 +0,0 @@
1
- simple_item :register_block, :name do
2
- register_map do
3
- field :name
4
-
5
- input_pattern %r{(#{variable_name})}
6
-
7
- build do |cell|
8
- @name = parse_name(cell)
9
- error "repeated register block name: #{@name}" if repeated_name?
10
- end
11
-
12
- def parse_name(cell)
13
- if pattern_matched?
14
- captures.first
15
- else
16
- error "invalid value for register block name: #{cell.inspect}"
17
- end
18
- end
19
-
20
- def repeated_name?
21
- register_map.register_blocks.any? do |block|
22
- @name == block.name
23
- end
24
- end
25
- end
26
- end
@@ -1,24 +0,0 @@
1
- simple_item :register_block, :ral_package do
2
- ral do
3
- delegate :name => :register_block
4
-
5
- write_file '<%= name %>_ral_pkg.sv' do |f|
6
- f.include_guard
7
- f.body { source_file_body }
8
- end
9
-
10
- def source_file_body
11
- package_definition "#{name}_ral_pkg" do |pkg|
12
- pkg.import_package :uvm_pkg
13
- pkg.import_package :rggen_ral_pkg
14
- pkg.include_file 'uvm_macros.svh'
15
- pkg.include_file 'rggen_ral_macros.svh'
16
- pkg.body { |code| body_code(code) }
17
- end
18
- end
19
-
20
- def body_code(code)
21
- register_block.generate_code(:package_item, :bottom_up, code)
22
- end
23
- end
24
- end