rggen 0.8.2 → 0.9.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (269) hide show
  1. checksums.yaml +4 -4
  2. data/CODE_OF_CONDUCT.md +54 -29
  3. data/{LICENSE.txt → LICENSE} +1 -1
  4. data/README.md +65 -56
  5. data/lib/rggen.rb +4 -63
  6. data/lib/rggen/built_in.rb +53 -0
  7. data/lib/rggen/built_in/bit_field/bit_assignment.rb +108 -0
  8. data/lib/rggen/built_in/bit_field/comment.rb +16 -0
  9. data/lib/rggen/built_in/bit_field/initial_value.rb +45 -0
  10. data/lib/rggen/built_in/bit_field/name.rb +39 -0
  11. data/lib/rggen/built_in/bit_field/reference.rb +100 -0
  12. data/lib/rggen/built_in/bit_field/sv_rtl_top.rb +87 -0
  13. data/lib/rggen/built_in/bit_field/type.rb +279 -0
  14. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb +15 -0
  15. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb +68 -0
  16. data/lib/rggen/built_in/bit_field/type/reserved.erb +3 -0
  17. data/lib/rggen/built_in/bit_field/type/reserved.rb +16 -0
  18. data/lib/rggen/built_in/bit_field/type/ro.erb +6 -0
  19. data/lib/rggen/built_in/bit_field/type/ro.rb +34 -0
  20. data/lib/rggen/built_in/bit_field/type/rof.erb +6 -0
  21. data/lib/rggen/built_in/bit_field/type/rof.rb +17 -0
  22. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb +13 -0
  23. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb +52 -0
  24. data/lib/rggen/built_in/bit_field/type/rw_wo.erb +9 -0
  25. data/lib/rggen/built_in/bit_field/type/rw_wo.rb +33 -0
  26. data/lib/rggen/built_in/bit_field/type/rwe_rwl.erb +14 -0
  27. data/lib/rggen/built_in/bit_field/type/rwe_rwl.rb +39 -0
  28. data/lib/rggen/built_in/global/address_width.rb +32 -0
  29. data/lib/rggen/built_in/global/array_port_format.rb +19 -0
  30. data/lib/rggen/built_in/global/bus_width.rb +33 -0
  31. data/lib/rggen/built_in/global/fold_sv_interface_port.rb +24 -0
  32. data/lib/rggen/built_in/register/name.rb +34 -0
  33. data/lib/rggen/built_in/register/offset_address.rb +96 -0
  34. data/lib/rggen/built_in/register/size.rb +49 -0
  35. data/lib/rggen/built_in/register/sv_rtl_top.rb +82 -0
  36. data/lib/rggen/built_in/register/type.rb +374 -0
  37. data/lib/rggen/built_in/register/type/default_sv_ral.erb +8 -0
  38. data/lib/rggen/built_in/register/type/default_sv_rtl.erb +15 -0
  39. data/lib/rggen/built_in/register/type/external.erb +11 -0
  40. data/lib/rggen/built_in/register/type/external.rb +141 -0
  41. data/lib/rggen/built_in/register/type/indirect.rb +329 -0
  42. data/lib/rggen/built_in/register/type/indirect_sv_ral.erb +13 -0
  43. data/lib/rggen/built_in/register/type/indirect_sv_rtl.erb +17 -0
  44. data/lib/rggen/built_in/register_block/byte_size.rb +59 -0
  45. data/lib/rggen/built_in/register_block/name.rb +36 -0
  46. data/lib/rggen/built_in/register_block/protocol.rb +71 -0
  47. data/lib/rggen/built_in/register_block/protocol/apb.erb +10 -0
  48. data/lib/rggen/built_in/register_block/protocol/apb.rb +113 -0
  49. data/lib/rggen/built_in/register_block/protocol/axi4lite.erb +11 -0
  50. data/lib/rggen/built_in/register_block/protocol/axi4lite.rb +167 -0
  51. data/lib/rggen/built_in/register_block/sv_ral_block_model.erb +11 -0
  52. data/lib/rggen/built_in/register_block/sv_ral_package.rb +58 -0
  53. data/lib/rggen/built_in/register_block/sv_rtl_macros.erb +9 -0
  54. data/lib/rggen/built_in/register_block/sv_rtl_top.rb +87 -0
  55. data/lib/rggen/built_in/version.rb +7 -0
  56. data/lib/rggen/default_setup_file.rb +6 -0
  57. data/lib/rggen/setup/default.rb +26 -0
  58. data/lib/rggen/version.rb +5 -4
  59. data/sample/block_0.rb +85 -0
  60. data/sample/block_0.sv +601 -0
  61. data/sample/block_0.xlsx +0 -0
  62. data/sample/block_0.yml +94 -0
  63. data/sample/block_0_ral_pkg.sv +174 -0
  64. data/sample/block_1.rb +22 -0
  65. data/sample/block_1.sv +136 -0
  66. data/sample/block_1.xlsx +0 -0
  67. data/sample/block_1.yml +26 -0
  68. data/sample/block_1_ral_pkg.sv +68 -0
  69. data/sample/config.json +5 -0
  70. data/sample/config.yml +3 -0
  71. metadata +96 -270
  72. data/bin/rggen +0 -6
  73. data/c_header/LICENSE +0 -21
  74. data/c_header/rggen.h +0 -17
  75. data/lib/rggen/base/component.rb +0 -31
  76. data/lib/rggen/base/component_factory.rb +0 -53
  77. data/lib/rggen/base/hierarchical_accessors.rb +0 -87
  78. data/lib/rggen/base/hierarchical_item_accessors.rb +0 -79
  79. data/lib/rggen/base/internal_struct.rb +0 -28
  80. data/lib/rggen/base/item.rb +0 -35
  81. data/lib/rggen/base/item_factory.rb +0 -25
  82. data/lib/rggen/builder/builder.rb +0 -69
  83. data/lib/rggen/builder/category.rb +0 -63
  84. data/lib/rggen/builder/component_entry.rb +0 -50
  85. data/lib/rggen/builder/component_store.rb +0 -42
  86. data/lib/rggen/builder/input_component_store.rb +0 -25
  87. data/lib/rggen/builder/item_store.rb +0 -89
  88. data/lib/rggen/builder/list_item_entry.rb +0 -81
  89. data/lib/rggen/builder/output_component_store.rb +0 -13
  90. data/lib/rggen/builder/simple_item_entry.rb +0 -33
  91. data/lib/rggen/builtins.rb +0 -55
  92. data/lib/rggen/builtins/bit_field/bit_assignment.rb +0 -39
  93. data/lib/rggen/builtins/bit_field/field_model.rb +0 -38
  94. data/lib/rggen/builtins/bit_field/initial_value.rb +0 -36
  95. data/lib/rggen/builtins/bit_field/name.rb +0 -26
  96. data/lib/rggen/builtins/bit_field/reference.rb +0 -40
  97. data/lib/rggen/builtins/bit_field/rtl_top.rb +0 -11
  98. data/lib/rggen/builtins/bit_field/type.rb +0 -244
  99. data/lib/rggen/builtins/bit_field/types/reserved.erb +0 -6
  100. data/lib/rggen/builtins/bit_field/types/reserved.rb +0 -18
  101. data/lib/rggen/builtins/bit_field/types/ro.erb +0 -6
  102. data/lib/rggen/builtins/bit_field/types/ro.rb +0 -22
  103. data/lib/rggen/builtins/bit_field/types/rw.erb +0 -9
  104. data/lib/rggen/builtins/bit_field/types/rw.rb +0 -23
  105. data/lib/rggen/builtins/bit_field/types/rwl_rwe.erb +0 -11
  106. data/lib/rggen/builtins/bit_field/types/rwl_rwe.rb +0 -54
  107. data/lib/rggen/builtins/bit_field/types/w0c_w1c.erb +0 -12
  108. data/lib/rggen/builtins/bit_field/types/w0c_w1c.rb +0 -33
  109. data/lib/rggen/builtins/bit_field/types/w0s_w1s.erb +0 -12
  110. data/lib/rggen/builtins/bit_field/types/w0s_w1s.rb +0 -33
  111. data/lib/rggen/builtins/bit_field/types/wo.rb +0 -5
  112. data/lib/rggen/builtins/global/address_width.rb +0 -17
  113. data/lib/rggen/builtins/global/array_port_format.rb +0 -15
  114. data/lib/rggen/builtins/global/data_width.rb +0 -20
  115. data/lib/rggen/builtins/global/unfold_sv_interface_port.rb +0 -22
  116. data/lib/rggen/builtins/loaders/configuration/json_loader.rb +0 -7
  117. data/lib/rggen/builtins/loaders/configuration/yaml_loader.rb +0 -7
  118. data/lib/rggen/builtins/loaders/register_map/csv_loader.rb +0 -12
  119. data/lib/rggen/builtins/loaders/register_map/xls_loader.rb +0 -17
  120. data/lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb +0 -21
  121. data/lib/rggen/builtins/register/array.rb +0 -30
  122. data/lib/rggen/builtins/register/constructor.rb +0 -17
  123. data/lib/rggen/builtins/register/field_model_creator.rb +0 -14
  124. data/lib/rggen/builtins/register/indirect_index_configurator.rb +0 -54
  125. data/lib/rggen/builtins/register/name.rb +0 -26
  126. data/lib/rggen/builtins/register/offset_address.rb +0 -61
  127. data/lib/rggen/builtins/register/reg_model.rb +0 -107
  128. data/lib/rggen/builtins/register/rtl_top.rb +0 -68
  129. data/lib/rggen/builtins/register/sub_block_model.rb +0 -34
  130. data/lib/rggen/builtins/register/type.rb +0 -283
  131. data/lib/rggen/builtins/register/types/default.erb +0 -10
  132. data/lib/rggen/builtins/register/types/external.erb +0 -11
  133. data/lib/rggen/builtins/register/types/external.rb +0 -77
  134. data/lib/rggen/builtins/register/types/indirect.erb +0 -13
  135. data/lib/rggen/builtins/register/types/indirect.rb +0 -175
  136. data/lib/rggen/builtins/register/uniqueness_validator.rb +0 -51
  137. data/lib/rggen/builtins/register_block/address_struct.rb +0 -56
  138. data/lib/rggen/builtins/register_block/base_address.rb +0 -64
  139. data/lib/rggen/builtins/register_block/block_model.rb +0 -20
  140. data/lib/rggen/builtins/register_block/byte_size.rb +0 -37
  141. data/lib/rggen/builtins/register_block/c_header_file.rb +0 -15
  142. data/lib/rggen/builtins/register_block/clock_reset.rb +0 -8
  143. data/lib/rggen/builtins/register_block/constructor.rb +0 -14
  144. data/lib/rggen/builtins/register_block/default_map_creator.rb +0 -39
  145. data/lib/rggen/builtins/register_block/host_if.rb +0 -64
  146. data/lib/rggen/builtins/register_block/host_ifs/apb.erb +0 -10
  147. data/lib/rggen/builtins/register_block/host_ifs/apb.rb +0 -64
  148. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.erb +0 -11
  149. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.rb +0 -93
  150. data/lib/rggen/builtins/register_block/name.rb +0 -26
  151. data/lib/rggen/builtins/register_block/ral_package.rb +0 -24
  152. data/lib/rggen/builtins/register_block/rtl_top.rb +0 -38
  153. data/lib/rggen/builtins/register_block/sub_model_creator.rb +0 -14
  154. data/lib/rggen/commands.rb +0 -23
  155. data/lib/rggen/core_components.rb +0 -54
  156. data/lib/rggen/core_components/c_header/item.rb +0 -8
  157. data/lib/rggen/core_components/c_header/setup.rb +0 -19
  158. data/lib/rggen/core_components/c_utility.rb +0 -19
  159. data/lib/rggen/core_components/c_utility/data_structure_definition.rb +0 -60
  160. data/lib/rggen/core_components/c_utility/source_file.rb +0 -10
  161. data/lib/rggen/core_components/c_utility/variable_declaration.rb +0 -35
  162. data/lib/rggen/core_components/code_utility.rb +0 -56
  163. data/lib/rggen/core_components/code_utility/code_block.rb +0 -72
  164. data/lib/rggen/core_components/code_utility/line.rb +0 -28
  165. data/lib/rggen/core_components/code_utility/source_file.rb +0 -97
  166. data/lib/rggen/core_components/configuration/configuration_factory.rb +0 -23
  167. data/lib/rggen/core_components/configuration/item.rb +0 -11
  168. data/lib/rggen/core_components/configuration/item_factory.rb +0 -20
  169. data/lib/rggen/core_components/configuration/raise_error.rb +0 -11
  170. data/lib/rggen/core_components/configuration/setup.rb +0 -14
  171. data/lib/rggen/core_components/erb_engine.rb +0 -15
  172. data/lib/rggen/core_components/ral/component.rb +0 -24
  173. data/lib/rggen/core_components/ral/item.rb +0 -59
  174. data/lib/rggen/core_components/ral/setup.rb +0 -19
  175. data/lib/rggen/core_components/register_map/bit_field_factory.rb +0 -11
  176. data/lib/rggen/core_components/register_map/component.rb +0 -15
  177. data/lib/rggen/core_components/register_map/component_factory.rb +0 -9
  178. data/lib/rggen/core_components/register_map/generic_map.rb +0 -80
  179. data/lib/rggen/core_components/register_map/item.rb +0 -26
  180. data/lib/rggen/core_components/register_map/item_factory.rb +0 -26
  181. data/lib/rggen/core_components/register_map/loader.rb +0 -11
  182. data/lib/rggen/core_components/register_map/raise_error.rb +0 -17
  183. data/lib/rggen/core_components/register_map/register_block_factory.rb +0 -29
  184. data/lib/rggen/core_components/register_map/register_factory.rb +0 -18
  185. data/lib/rggen/core_components/register_map/register_map_factory.rb +0 -18
  186. data/lib/rggen/core_components/register_map/setup.rb +0 -33
  187. data/lib/rggen/core_components/rtl/component.rb +0 -24
  188. data/lib/rggen/core_components/rtl/item.rb +0 -82
  189. data/lib/rggen/core_components/rtl/setup.rb +0 -19
  190. data/lib/rggen/core_components/verilog_utility.rb +0 -88
  191. data/lib/rggen/core_components/verilog_utility/class_definition.rb +0 -56
  192. data/lib/rggen/core_components/verilog_utility/identifier.rb +0 -78
  193. data/lib/rggen/core_components/verilog_utility/interface_instance.rb +0 -37
  194. data/lib/rggen/core_components/verilog_utility/interface_port.rb +0 -33
  195. data/lib/rggen/core_components/verilog_utility/local_scope.rb +0 -75
  196. data/lib/rggen/core_components/verilog_utility/module_definition.rb +0 -82
  197. data/lib/rggen/core_components/verilog_utility/package_definition.rb +0 -57
  198. data/lib/rggen/core_components/verilog_utility/source_file.rb +0 -10
  199. data/lib/rggen/core_components/verilog_utility/structure_definition.rb +0 -51
  200. data/lib/rggen/core_components/verilog_utility/subroutine_definition.rb +0 -41
  201. data/lib/rggen/core_components/verilog_utility/variable.rb +0 -115
  202. data/lib/rggen/core_extensions/array.rb +0 -9
  203. data/lib/rggen/core_extensions/facets.rb +0 -22
  204. data/lib/rggen/core_extensions/forwardable.rb +0 -49
  205. data/lib/rggen/core_extensions/integer.rb +0 -5
  206. data/lib/rggen/core_extensions/math.rb +0 -7
  207. data/lib/rggen/core_extensions/roo.rb +0 -17
  208. data/lib/rggen/exceptions.rb +0 -28
  209. data/lib/rggen/generator.rb +0 -67
  210. data/lib/rggen/input_base/component.rb +0 -28
  211. data/lib/rggen/input_base/component_factory.rb +0 -58
  212. data/lib/rggen/input_base/item.rb +0 -171
  213. data/lib/rggen/input_base/item_factory.rb +0 -13
  214. data/lib/rggen/input_base/loader.rb +0 -23
  215. data/lib/rggen/input_base/regexp_patterns.rb +0 -29
  216. data/lib/rggen/option_switches.rb +0 -60
  217. data/lib/rggen/options.rb +0 -97
  218. data/lib/rggen/output_base/code_generator.rb +0 -36
  219. data/lib/rggen/output_base/component.rb +0 -78
  220. data/lib/rggen/output_base/component_factory.rb +0 -32
  221. data/lib/rggen/output_base/file_writer.rb +0 -36
  222. data/lib/rggen/output_base/item.rb +0 -110
  223. data/lib/rggen/output_base/item_factory.rb +0 -9
  224. data/lib/rggen/output_base/template_engine.rb +0 -24
  225. data/lib/rggen/rggen_home.rb +0 -3
  226. data/ral/LICENSE +0 -21
  227. data/ral/compile.f +0 -2
  228. data/ral/rggen_ral_block.svh +0 -83
  229. data/ral/rggen_ral_field.svh +0 -47
  230. data/ral/rggen_ral_field_rwl_rwe.svh +0 -158
  231. data/ral/rggen_ral_indirect_reg.svh +0 -193
  232. data/ral/rggen_ral_macros.svh +0 -27
  233. data/ral/rggen_ral_map.svh +0 -124
  234. data/ral/rggen_ral_pkg.sv +0 -15
  235. data/ral/rggen_ral_reg.svh +0 -88
  236. data/rtl/LICENSE +0 -21
  237. data/rtl/compile.f +0 -18
  238. data/rtl/rggen_address_decoder.sv +0 -23
  239. data/rtl/rggen_apb_if.sv +0 -41
  240. data/rtl/rggen_axi4lite_if.sv +0 -68
  241. data/rtl/rggen_bit_field_if.sv +0 -28
  242. data/rtl/rggen_bit_field_ro.sv +0 -9
  243. data/rtl/rggen_bit_field_rw.sv +0 -25
  244. data/rtl/rggen_bit_field_rwl_rwe.sv +0 -29
  245. data/rtl/rggen_bit_field_w01s_w01c.sv +0 -55
  246. data/rtl/rggen_bus_if.sv +0 -43
  247. data/rtl/rggen_bus_splitter.sv +0 -86
  248. data/rtl/rggen_default_register.sv +0 -15
  249. data/rtl/rggen_external_register.sv +0 -83
  250. data/rtl/rggen_host_if_apb.sv +0 -29
  251. data/rtl/rggen_host_if_axi4lite.sv +0 -161
  252. data/rtl/rggen_indirect_register.sv +0 -21
  253. data/rtl/rggen_register_base.sv +0 -57
  254. data/rtl/rggen_register_if.sv +0 -42
  255. data/rtl/rggen_rtl_pkg.sv +0 -23
  256. data/sample/LICENSE +0 -21
  257. data/sample/sample.csv +0 -21
  258. data/sample/sample.json +0 -6
  259. data/sample/sample.xls +0 -0
  260. data/sample/sample.xlsx +0 -0
  261. data/sample/sample.yaml +0 -4
  262. data/sample/sample_0.h +0 -17
  263. data/sample/sample_0.sv +0 -402
  264. data/sample/sample_0_ral_pkg.sv +0 -145
  265. data/sample/sample_1.h +0 -9
  266. data/sample/sample_1.sv +0 -128
  267. data/sample/sample_1_ral_pkg.sv +0 -56
  268. data/sample/sample_setup.rb +0 -24
  269. data/setup/default.rb +0 -14
@@ -1,13 +0,0 @@
1
- module RgGen
2
- module InputBase
3
- class ItemFactory < Base::ItemFactory
4
- def active_item_factory?
5
- !passive_item_factory?
6
- end
7
-
8
- def passive_item_factory?
9
- @target_items.nil? && @target_item.passive_item?
10
- end
11
- end
12
- end
13
- end
@@ -1,23 +0,0 @@
1
- module RgGen
2
- module InputBase
3
- class Loader
4
- class << self
5
- attr_writer :supported_types
6
-
7
- def acceptable?(file)
8
- ext = File.ext(file).to_sym
9
- @supported_types.any? { |type| type.casecmp(ext).zero? }
10
- end
11
-
12
- def load(file)
13
- new.load(file)
14
- end
15
- end
16
-
17
- def load(file)
18
- return load_file(file) if File.exist?(file)
19
- raise RgGen::LoadError, "cannot load such file -- #{file}"
20
- end
21
- end
22
- end
23
- end
@@ -1,29 +0,0 @@
1
- module RgGen
2
- module InputBase
3
- module RegxpPatterns
4
- BIN_REGEXP = /0b(?:[01][01_]*)?[01]/i
5
- OCT_REGEXP = /0o(?:[0-7][0-7_]*)?[0-7]/i
6
- DEC_REGEXP = /(?:[1-9][\d_]*)?\d/
7
- HEX_REGEXP = /0x(?:\h[\h_]*)?\h/i
8
-
9
- UNSIGNED_NUMBER_REGEXP = (
10
- /\b/ + (BIN_REGEXP | OCT_REGEXP | DEC_REGEXP | HEX_REGEXP) + /\b/
11
- ).freeze
12
- VARIABLE_NAME_REGEXP = /\b[a-z_][a-z0-9_]*\b/i
13
-
14
- def self.included(klass)
15
- klass.extend(self)
16
- end
17
-
18
- private
19
-
20
- def number
21
- UNSIGNED_NUMBER_REGEXP
22
- end
23
-
24
- def variable_name
25
- VARIABLE_NAME_REGEXP
26
- end
27
- end
28
- end
29
- end
@@ -1,60 +0,0 @@
1
- module RgGen
2
- Options.add_option_switch :setup do
3
- long '--setup FILE'
4
- description 'Specify a setup file to set up RgGen tool'
5
- default { ENV['RGGEN_DEFAULT_SETUP_FILE'] || default_setup }
6
-
7
- def default_setup
8
- File.join(RgGen::RGGEN_HOME, 'setup', 'default.rb')
9
- end
10
- end
11
-
12
- Options.add_option_switch :configuration do
13
- short '-c'
14
- long '--configuration FILE'
15
- description 'Specify a configuration file for generated source code'
16
- default { ENV['RGGEN_DEFAULT_CONFIGURATION_FILE'] }
17
- end
18
-
19
- Options.add_option_switch :output do
20
- short '-o'
21
- long '--output DIR'
22
- description 'Specify output directory'
23
- default '.'
24
- end
25
-
26
- Options.add_option_switch :load_only do
27
- long '--load-only'
28
- option_class TrueClass
29
- description 'Load input files only if specified'
30
- default false
31
- end
32
-
33
- Options.add_option_switch :disable do
34
- long '--disable TYPE1[,TYPE2,...]'
35
- option_class Array
36
- description 'Disable the given output file type(s)'
37
- default { [] }
38
- body { |v, o, k| o[k].concat(v.map(&:to_sym)) }
39
- end
40
-
41
- Options.add_option_switch :show_home do
42
- long '--show-home'
43
- description 'Display the path of RgGen tool home directory'
44
- body { puts RgGen::RGGEN_HOME; exit }
45
- end
46
-
47
- Options.add_option_switch :version do
48
- short '-v'
49
- long '--version'
50
- description 'Display the version'
51
- body { puts ver; exit }
52
- end
53
-
54
- Options.add_option_switch :help do
55
- short '-h'
56
- long '--help'
57
- description 'Display this message'
58
- body { puts help; exit }
59
- end
60
- end
data/lib/rggen/options.rb DELETED
@@ -1,97 +0,0 @@
1
- module RgGen
2
- class Options
3
- extend Forwardable
4
-
5
- class OptionSwitch
6
- def initialize(kind)
7
- @kind = kind
8
- end
9
-
10
- def on(parser, options)
11
- parser.on(*args) do |value|
12
- parser.instance_exec(value, options, @kind, &body)
13
- end
14
- end
15
-
16
- attr_setter :short
17
- attr_setter :long
18
- attr_setter :option_class
19
-
20
- def default(value = nil, &block)
21
- if block_given?
22
- @default = block
23
- elsif value
24
- @default = proc { value }
25
- else
26
- @default && @default.call
27
- end
28
- end
29
-
30
- def description(value = nil)
31
- if value
32
- @description = value
33
- elsif @description || @default
34
- ''.tap do |d|
35
- d << @description if @description
36
- d << "(default: #{default})" if default
37
- end
38
- end
39
- end
40
-
41
- def body(&block)
42
- if block_given?
43
- @body = block
44
- else
45
- @body || proc { |v, o, k| o[k] = v }
46
- end
47
- end
48
-
49
- private
50
-
51
- def args
52
- [@short, @long, @option_class, description].compact
53
- end
54
- end
55
-
56
- class << self
57
- def parse(args)
58
- new.tap { |options| options.parse(args) }
59
- end
60
-
61
- def option_switches
62
- @option_switches ||= {}
63
- end
64
-
65
- def add_option_switch(kind, &block)
66
- option_switches[kind] = OptionSwitch.new(kind)
67
- option_switches[kind].instance_exec(&block)
68
- end
69
- end
70
-
71
- def_class_delegator :option_switches
72
- def_delegator :@options, :[]
73
-
74
- def initialize
75
- @options = Hash.new { |h, k| h[k] = option_switches[k].default }
76
- end
77
-
78
- def parse(args)
79
- option_parser.parse!(args)
80
- end
81
-
82
- private
83
-
84
- def option_parser
85
- OptionParser.new do |parser|
86
- parser.version = RgGen::VERSION
87
- parser.program_name = 'rggen'
88
- parser.banner = 'Usage: rggen [options] REGISTER_MAP'
89
- define_option_switches(parser)
90
- end
91
- end
92
-
93
- def define_option_switches(parser)
94
- option_switches.each_value { |switch| switch.on(parser, @options) }
95
- end
96
- end
97
- end
@@ -1,36 +0,0 @@
1
- module RgGen
2
- module OutputBase
3
- class CodeGenerator
4
- def []=(kind, body)
5
- (@bodies ||= {})[kind] = body
6
- end
7
-
8
- def generate_code(context, kind, code)
9
- return code unless body?(kind)
10
- (code || context.create_blank_code).tap do |c|
11
- execute_body(context, kind, c)
12
- end
13
- end
14
-
15
- def copy
16
- CodeGenerator.new.tap do |g|
17
- g.instance_variable_set(:@bodies, Hash[@bodies]) if @bodies
18
- end
19
- end
20
-
21
- private
22
-
23
- def body?(kind)
24
- @bodies && @bodies.key?(kind)
25
- end
26
-
27
- def execute_body(context, kind, code)
28
- if @bodies[kind].arity.zero?
29
- code << context.instance_exec(&@bodies[kind])
30
- else
31
- context.instance_exec(code, &@bodies[kind])
32
- end
33
- end
34
- end
35
- end
36
- end
@@ -1,78 +0,0 @@
1
- module RgGen
2
- module OutputBase
3
- class Component < Base::Component
4
- include Base::HierarchicalAccessors
5
-
6
- def initialize(parent, configuration, source)
7
- super(parent)
8
- define_hierarchical_accessors
9
- @configuration = configuration
10
- @source = source
11
- @need_children = source.need_children?
12
- def_delegators(:source, *source.fields)
13
- end
14
-
15
- attr_reader :configuration
16
- attr_reader :source
17
- attr_writer :output_directory
18
-
19
- def add_item(item)
20
- super(item)
21
- def_object_delegators(@items.last, *item.exported_methods)
22
- end
23
-
24
- def build
25
- items.each(&:build)
26
- children.each(&:build)
27
- end
28
-
29
- def generate_code(kind, mode, code = nil)
30
- [
31
- pre_code_generator, *main_code_generator(mode), post_code_generator
32
- ].inject(code) { |c, g| g.call(kind, mode, c) }
33
- end
34
-
35
- def write_file(output_directory)
36
- directoris = [*Array(output_directory), @output_directory].compact
37
- [*items, *children].each do |item_or_child|
38
- item_or_child.write_file(directoris)
39
- end
40
- end
41
-
42
- private
43
-
44
- def generate_item_code(method_name, kind, _, code)
45
- items.inject(code) do |c, item|
46
- item.send(method_name, kind, c)
47
- end
48
- end
49
-
50
- def generate_child_code(kind, mode, code)
51
- children.inject(code) do |c, child|
52
- child.generate_code(kind, mode, c)
53
- end
54
- end
55
-
56
- def pre_code_generator
57
- method(:generate_item_code).curry[:generate_pre_code]
58
- end
59
-
60
- def main_code_generator(mode)
61
- {
62
- top_down: [
63
- method(:generate_item_code ).curry[:generate_code],
64
- method(:generate_child_code)
65
- ],
66
- bottom_up: [
67
- method(:generate_child_code),
68
- method(:generate_item_code ).curry[:generate_code]
69
- ]
70
- }[mode]
71
- end
72
-
73
- def post_code_generator
74
- method(:generate_item_code).curry[:generate_post_code]
75
- end
76
- end
77
- end
78
- end
@@ -1,32 +0,0 @@
1
- module RgGen
2
- module OutputBase
3
- class ComponentFactory < Base::ComponentFactory
4
- attr_writer :output_directory
5
-
6
- def create(*args)
7
- component = super(*args)
8
- if @root_factory
9
- component.build
10
- component.output_directory = @output_directory
11
- end
12
- component
13
- end
14
-
15
- def create_component(parent, configuration, register_map)
16
- @target_component.new(parent, configuration, register_map)
17
- end
18
-
19
- def create_items(generator, configuration, soruce)
20
- @item_factories.each_value do |item_factory|
21
- create_item(item_factory, generator, configuration, soruce)
22
- end
23
- end
24
-
25
- def create_children(generator, configuration, source)
26
- source.children.each do |child_source|
27
- create_child(generator, configuration, child_source)
28
- end
29
- end
30
- end
31
- end
32
- end
@@ -1,36 +0,0 @@
1
- module RgGen
2
- module OutputBase
3
- class FileWriter
4
- def initialize(pattern, body)
5
- @pattern = Erubi::Engine.new(pattern)
6
- @body = body
7
- end
8
-
9
- def write_file(context, output_directory = nil)
10
- path = generate_path(context, output_directory)
11
- code = generate_code(context, path)
12
- create_output_directory(path)
13
- File.binwrite(path, code)
14
- end
15
-
16
- private
17
-
18
- def generate_path(context, output_directory)
19
- [
20
- *Array(output_directory), context.instance_eval(@pattern.src)
21
- ].map(&:to_s).reject(&:empty?).to_path
22
- end
23
-
24
- def generate_code(context, path)
25
- context.create_blank_file(path).tap do |file|
26
- context.instance_exec(file, &@body)
27
- end
28
- end
29
-
30
- def create_output_directory(path)
31
- dirname = path.dirname
32
- dirname.directory? || dirname.mkpath
33
- end
34
- end
35
- end
36
- end
@@ -1,110 +0,0 @@
1
- module RgGen
2
- module OutputBase
3
- class Item < Base::Item
4
- include Base::HierarchicalItemAccessors
5
-
6
- CODE_GENERATION_METHODS = {
7
- pre: :generate_pre_code,
8
- main: :generate_code,
9
- post: :generate_post_code
10
- }
11
-
12
- define_helpers do
13
- attr_reader :builders
14
- attr_reader :file_writer
15
-
16
- def build(&body)
17
- @builders ||= []
18
- @builders << body
19
- end
20
-
21
- def template_engine(engine)
22
- define_method(:template_engine) { engine.instance }
23
- end
24
-
25
- def code_generators
26
- @code_generators ||= {}
27
- end
28
-
29
- CODE_GENERATION_METHODS.each do |type, method_name|
30
- define_method(method_name) do |kind, &body|
31
- (code_generators[type] ||= CodeGenerator.new)[kind] = body
32
- end
33
- end
34
-
35
- def generate_code_from_template(kind, path = nil)
36
- call_info = caller.first
37
- generate_code(kind) do
38
- template_engine.process_template(self, path, call_info)
39
- end
40
- end
41
-
42
- def write_file(name_pattern, &body)
43
- @file_writer ||= FileWriter.new(name_pattern, body)
44
- end
45
-
46
- def export(*exporting_methods)
47
- exported_methods.concat(
48
- exporting_methods.reject(&exported_methods.method(:include?))
49
- )
50
- end
51
-
52
- def exported_methods
53
- @exported_methods ||= []
54
- end
55
- end
56
-
57
- def self.inherited(subclass)
58
- super(subclass)
59
- [:@builders, :@exported_methods].each do |v|
60
- subclass.inherit_class_instance_variable(v, self, &:dup)
61
- end
62
- if @code_generators && @code_generators.size > 0
63
- subclass.instance_variable_set(
64
- :@code_generators,
65
- Hash[*@code_generators.flat_map { |k, g| [k, g.copy] }]
66
- )
67
- end
68
- end
69
-
70
- def initialize(owner)
71
- super(owner)
72
- define_hierarchical_item_accessors
73
- end
74
-
75
- class_delegator :builders
76
- class_delegator :code_generators
77
- class_delegator :file_writer
78
- class_delegator :exported_methods
79
-
80
- def build
81
- return if builders.nil?
82
- builders.each do |builder|
83
- instance_exec(&builder)
84
- end
85
- end
86
-
87
- CODE_GENERATION_METHODS.each do |type, method_name|
88
- define_method(method_name) do |kind, code|
89
- return code unless code_generators.key?(type)
90
- code_generators[type].generate_code(self, kind, code)
91
- end
92
- end
93
-
94
- def write_file(output_directory = nil)
95
- return if file_writer.nil?
96
- file_writer.write_file(self, output_directory)
97
- end
98
-
99
- private
100
-
101
- def process_template(path = nil)
102
- template_engine.process_template(self, path, caller.first)
103
- end
104
-
105
- def configuration
106
- @owner.configuration
107
- end
108
- end
109
- end
110
- end