rggen 0.8.2 → 0.9.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (269) hide show
  1. checksums.yaml +4 -4
  2. data/CODE_OF_CONDUCT.md +54 -29
  3. data/{LICENSE.txt → LICENSE} +1 -1
  4. data/README.md +65 -56
  5. data/lib/rggen.rb +4 -63
  6. data/lib/rggen/built_in.rb +53 -0
  7. data/lib/rggen/built_in/bit_field/bit_assignment.rb +108 -0
  8. data/lib/rggen/built_in/bit_field/comment.rb +16 -0
  9. data/lib/rggen/built_in/bit_field/initial_value.rb +45 -0
  10. data/lib/rggen/built_in/bit_field/name.rb +39 -0
  11. data/lib/rggen/built_in/bit_field/reference.rb +100 -0
  12. data/lib/rggen/built_in/bit_field/sv_rtl_top.rb +87 -0
  13. data/lib/rggen/built_in/bit_field/type.rb +279 -0
  14. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb +15 -0
  15. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb +68 -0
  16. data/lib/rggen/built_in/bit_field/type/reserved.erb +3 -0
  17. data/lib/rggen/built_in/bit_field/type/reserved.rb +16 -0
  18. data/lib/rggen/built_in/bit_field/type/ro.erb +6 -0
  19. data/lib/rggen/built_in/bit_field/type/ro.rb +34 -0
  20. data/lib/rggen/built_in/bit_field/type/rof.erb +6 -0
  21. data/lib/rggen/built_in/bit_field/type/rof.rb +17 -0
  22. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb +13 -0
  23. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb +52 -0
  24. data/lib/rggen/built_in/bit_field/type/rw_wo.erb +9 -0
  25. data/lib/rggen/built_in/bit_field/type/rw_wo.rb +33 -0
  26. data/lib/rggen/built_in/bit_field/type/rwe_rwl.erb +14 -0
  27. data/lib/rggen/built_in/bit_field/type/rwe_rwl.rb +39 -0
  28. data/lib/rggen/built_in/global/address_width.rb +32 -0
  29. data/lib/rggen/built_in/global/array_port_format.rb +19 -0
  30. data/lib/rggen/built_in/global/bus_width.rb +33 -0
  31. data/lib/rggen/built_in/global/fold_sv_interface_port.rb +24 -0
  32. data/lib/rggen/built_in/register/name.rb +34 -0
  33. data/lib/rggen/built_in/register/offset_address.rb +96 -0
  34. data/lib/rggen/built_in/register/size.rb +49 -0
  35. data/lib/rggen/built_in/register/sv_rtl_top.rb +82 -0
  36. data/lib/rggen/built_in/register/type.rb +374 -0
  37. data/lib/rggen/built_in/register/type/default_sv_ral.erb +8 -0
  38. data/lib/rggen/built_in/register/type/default_sv_rtl.erb +15 -0
  39. data/lib/rggen/built_in/register/type/external.erb +11 -0
  40. data/lib/rggen/built_in/register/type/external.rb +141 -0
  41. data/lib/rggen/built_in/register/type/indirect.rb +329 -0
  42. data/lib/rggen/built_in/register/type/indirect_sv_ral.erb +13 -0
  43. data/lib/rggen/built_in/register/type/indirect_sv_rtl.erb +17 -0
  44. data/lib/rggen/built_in/register_block/byte_size.rb +59 -0
  45. data/lib/rggen/built_in/register_block/name.rb +36 -0
  46. data/lib/rggen/built_in/register_block/protocol.rb +71 -0
  47. data/lib/rggen/built_in/register_block/protocol/apb.erb +10 -0
  48. data/lib/rggen/built_in/register_block/protocol/apb.rb +113 -0
  49. data/lib/rggen/built_in/register_block/protocol/axi4lite.erb +11 -0
  50. data/lib/rggen/built_in/register_block/protocol/axi4lite.rb +167 -0
  51. data/lib/rggen/built_in/register_block/sv_ral_block_model.erb +11 -0
  52. data/lib/rggen/built_in/register_block/sv_ral_package.rb +58 -0
  53. data/lib/rggen/built_in/register_block/sv_rtl_macros.erb +9 -0
  54. data/lib/rggen/built_in/register_block/sv_rtl_top.rb +87 -0
  55. data/lib/rggen/built_in/version.rb +7 -0
  56. data/lib/rggen/default_setup_file.rb +6 -0
  57. data/lib/rggen/setup/default.rb +26 -0
  58. data/lib/rggen/version.rb +5 -4
  59. data/sample/block_0.rb +85 -0
  60. data/sample/block_0.sv +601 -0
  61. data/sample/block_0.xlsx +0 -0
  62. data/sample/block_0.yml +94 -0
  63. data/sample/block_0_ral_pkg.sv +174 -0
  64. data/sample/block_1.rb +22 -0
  65. data/sample/block_1.sv +136 -0
  66. data/sample/block_1.xlsx +0 -0
  67. data/sample/block_1.yml +26 -0
  68. data/sample/block_1_ral_pkg.sv +68 -0
  69. data/sample/config.json +5 -0
  70. data/sample/config.yml +3 -0
  71. metadata +96 -270
  72. data/bin/rggen +0 -6
  73. data/c_header/LICENSE +0 -21
  74. data/c_header/rggen.h +0 -17
  75. data/lib/rggen/base/component.rb +0 -31
  76. data/lib/rggen/base/component_factory.rb +0 -53
  77. data/lib/rggen/base/hierarchical_accessors.rb +0 -87
  78. data/lib/rggen/base/hierarchical_item_accessors.rb +0 -79
  79. data/lib/rggen/base/internal_struct.rb +0 -28
  80. data/lib/rggen/base/item.rb +0 -35
  81. data/lib/rggen/base/item_factory.rb +0 -25
  82. data/lib/rggen/builder/builder.rb +0 -69
  83. data/lib/rggen/builder/category.rb +0 -63
  84. data/lib/rggen/builder/component_entry.rb +0 -50
  85. data/lib/rggen/builder/component_store.rb +0 -42
  86. data/lib/rggen/builder/input_component_store.rb +0 -25
  87. data/lib/rggen/builder/item_store.rb +0 -89
  88. data/lib/rggen/builder/list_item_entry.rb +0 -81
  89. data/lib/rggen/builder/output_component_store.rb +0 -13
  90. data/lib/rggen/builder/simple_item_entry.rb +0 -33
  91. data/lib/rggen/builtins.rb +0 -55
  92. data/lib/rggen/builtins/bit_field/bit_assignment.rb +0 -39
  93. data/lib/rggen/builtins/bit_field/field_model.rb +0 -38
  94. data/lib/rggen/builtins/bit_field/initial_value.rb +0 -36
  95. data/lib/rggen/builtins/bit_field/name.rb +0 -26
  96. data/lib/rggen/builtins/bit_field/reference.rb +0 -40
  97. data/lib/rggen/builtins/bit_field/rtl_top.rb +0 -11
  98. data/lib/rggen/builtins/bit_field/type.rb +0 -244
  99. data/lib/rggen/builtins/bit_field/types/reserved.erb +0 -6
  100. data/lib/rggen/builtins/bit_field/types/reserved.rb +0 -18
  101. data/lib/rggen/builtins/bit_field/types/ro.erb +0 -6
  102. data/lib/rggen/builtins/bit_field/types/ro.rb +0 -22
  103. data/lib/rggen/builtins/bit_field/types/rw.erb +0 -9
  104. data/lib/rggen/builtins/bit_field/types/rw.rb +0 -23
  105. data/lib/rggen/builtins/bit_field/types/rwl_rwe.erb +0 -11
  106. data/lib/rggen/builtins/bit_field/types/rwl_rwe.rb +0 -54
  107. data/lib/rggen/builtins/bit_field/types/w0c_w1c.erb +0 -12
  108. data/lib/rggen/builtins/bit_field/types/w0c_w1c.rb +0 -33
  109. data/lib/rggen/builtins/bit_field/types/w0s_w1s.erb +0 -12
  110. data/lib/rggen/builtins/bit_field/types/w0s_w1s.rb +0 -33
  111. data/lib/rggen/builtins/bit_field/types/wo.rb +0 -5
  112. data/lib/rggen/builtins/global/address_width.rb +0 -17
  113. data/lib/rggen/builtins/global/array_port_format.rb +0 -15
  114. data/lib/rggen/builtins/global/data_width.rb +0 -20
  115. data/lib/rggen/builtins/global/unfold_sv_interface_port.rb +0 -22
  116. data/lib/rggen/builtins/loaders/configuration/json_loader.rb +0 -7
  117. data/lib/rggen/builtins/loaders/configuration/yaml_loader.rb +0 -7
  118. data/lib/rggen/builtins/loaders/register_map/csv_loader.rb +0 -12
  119. data/lib/rggen/builtins/loaders/register_map/xls_loader.rb +0 -17
  120. data/lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb +0 -21
  121. data/lib/rggen/builtins/register/array.rb +0 -30
  122. data/lib/rggen/builtins/register/constructor.rb +0 -17
  123. data/lib/rggen/builtins/register/field_model_creator.rb +0 -14
  124. data/lib/rggen/builtins/register/indirect_index_configurator.rb +0 -54
  125. data/lib/rggen/builtins/register/name.rb +0 -26
  126. data/lib/rggen/builtins/register/offset_address.rb +0 -61
  127. data/lib/rggen/builtins/register/reg_model.rb +0 -107
  128. data/lib/rggen/builtins/register/rtl_top.rb +0 -68
  129. data/lib/rggen/builtins/register/sub_block_model.rb +0 -34
  130. data/lib/rggen/builtins/register/type.rb +0 -283
  131. data/lib/rggen/builtins/register/types/default.erb +0 -10
  132. data/lib/rggen/builtins/register/types/external.erb +0 -11
  133. data/lib/rggen/builtins/register/types/external.rb +0 -77
  134. data/lib/rggen/builtins/register/types/indirect.erb +0 -13
  135. data/lib/rggen/builtins/register/types/indirect.rb +0 -175
  136. data/lib/rggen/builtins/register/uniqueness_validator.rb +0 -51
  137. data/lib/rggen/builtins/register_block/address_struct.rb +0 -56
  138. data/lib/rggen/builtins/register_block/base_address.rb +0 -64
  139. data/lib/rggen/builtins/register_block/block_model.rb +0 -20
  140. data/lib/rggen/builtins/register_block/byte_size.rb +0 -37
  141. data/lib/rggen/builtins/register_block/c_header_file.rb +0 -15
  142. data/lib/rggen/builtins/register_block/clock_reset.rb +0 -8
  143. data/lib/rggen/builtins/register_block/constructor.rb +0 -14
  144. data/lib/rggen/builtins/register_block/default_map_creator.rb +0 -39
  145. data/lib/rggen/builtins/register_block/host_if.rb +0 -64
  146. data/lib/rggen/builtins/register_block/host_ifs/apb.erb +0 -10
  147. data/lib/rggen/builtins/register_block/host_ifs/apb.rb +0 -64
  148. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.erb +0 -11
  149. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.rb +0 -93
  150. data/lib/rggen/builtins/register_block/name.rb +0 -26
  151. data/lib/rggen/builtins/register_block/ral_package.rb +0 -24
  152. data/lib/rggen/builtins/register_block/rtl_top.rb +0 -38
  153. data/lib/rggen/builtins/register_block/sub_model_creator.rb +0 -14
  154. data/lib/rggen/commands.rb +0 -23
  155. data/lib/rggen/core_components.rb +0 -54
  156. data/lib/rggen/core_components/c_header/item.rb +0 -8
  157. data/lib/rggen/core_components/c_header/setup.rb +0 -19
  158. data/lib/rggen/core_components/c_utility.rb +0 -19
  159. data/lib/rggen/core_components/c_utility/data_structure_definition.rb +0 -60
  160. data/lib/rggen/core_components/c_utility/source_file.rb +0 -10
  161. data/lib/rggen/core_components/c_utility/variable_declaration.rb +0 -35
  162. data/lib/rggen/core_components/code_utility.rb +0 -56
  163. data/lib/rggen/core_components/code_utility/code_block.rb +0 -72
  164. data/lib/rggen/core_components/code_utility/line.rb +0 -28
  165. data/lib/rggen/core_components/code_utility/source_file.rb +0 -97
  166. data/lib/rggen/core_components/configuration/configuration_factory.rb +0 -23
  167. data/lib/rggen/core_components/configuration/item.rb +0 -11
  168. data/lib/rggen/core_components/configuration/item_factory.rb +0 -20
  169. data/lib/rggen/core_components/configuration/raise_error.rb +0 -11
  170. data/lib/rggen/core_components/configuration/setup.rb +0 -14
  171. data/lib/rggen/core_components/erb_engine.rb +0 -15
  172. data/lib/rggen/core_components/ral/component.rb +0 -24
  173. data/lib/rggen/core_components/ral/item.rb +0 -59
  174. data/lib/rggen/core_components/ral/setup.rb +0 -19
  175. data/lib/rggen/core_components/register_map/bit_field_factory.rb +0 -11
  176. data/lib/rggen/core_components/register_map/component.rb +0 -15
  177. data/lib/rggen/core_components/register_map/component_factory.rb +0 -9
  178. data/lib/rggen/core_components/register_map/generic_map.rb +0 -80
  179. data/lib/rggen/core_components/register_map/item.rb +0 -26
  180. data/lib/rggen/core_components/register_map/item_factory.rb +0 -26
  181. data/lib/rggen/core_components/register_map/loader.rb +0 -11
  182. data/lib/rggen/core_components/register_map/raise_error.rb +0 -17
  183. data/lib/rggen/core_components/register_map/register_block_factory.rb +0 -29
  184. data/lib/rggen/core_components/register_map/register_factory.rb +0 -18
  185. data/lib/rggen/core_components/register_map/register_map_factory.rb +0 -18
  186. data/lib/rggen/core_components/register_map/setup.rb +0 -33
  187. data/lib/rggen/core_components/rtl/component.rb +0 -24
  188. data/lib/rggen/core_components/rtl/item.rb +0 -82
  189. data/lib/rggen/core_components/rtl/setup.rb +0 -19
  190. data/lib/rggen/core_components/verilog_utility.rb +0 -88
  191. data/lib/rggen/core_components/verilog_utility/class_definition.rb +0 -56
  192. data/lib/rggen/core_components/verilog_utility/identifier.rb +0 -78
  193. data/lib/rggen/core_components/verilog_utility/interface_instance.rb +0 -37
  194. data/lib/rggen/core_components/verilog_utility/interface_port.rb +0 -33
  195. data/lib/rggen/core_components/verilog_utility/local_scope.rb +0 -75
  196. data/lib/rggen/core_components/verilog_utility/module_definition.rb +0 -82
  197. data/lib/rggen/core_components/verilog_utility/package_definition.rb +0 -57
  198. data/lib/rggen/core_components/verilog_utility/source_file.rb +0 -10
  199. data/lib/rggen/core_components/verilog_utility/structure_definition.rb +0 -51
  200. data/lib/rggen/core_components/verilog_utility/subroutine_definition.rb +0 -41
  201. data/lib/rggen/core_components/verilog_utility/variable.rb +0 -115
  202. data/lib/rggen/core_extensions/array.rb +0 -9
  203. data/lib/rggen/core_extensions/facets.rb +0 -22
  204. data/lib/rggen/core_extensions/forwardable.rb +0 -49
  205. data/lib/rggen/core_extensions/integer.rb +0 -5
  206. data/lib/rggen/core_extensions/math.rb +0 -7
  207. data/lib/rggen/core_extensions/roo.rb +0 -17
  208. data/lib/rggen/exceptions.rb +0 -28
  209. data/lib/rggen/generator.rb +0 -67
  210. data/lib/rggen/input_base/component.rb +0 -28
  211. data/lib/rggen/input_base/component_factory.rb +0 -58
  212. data/lib/rggen/input_base/item.rb +0 -171
  213. data/lib/rggen/input_base/item_factory.rb +0 -13
  214. data/lib/rggen/input_base/loader.rb +0 -23
  215. data/lib/rggen/input_base/regexp_patterns.rb +0 -29
  216. data/lib/rggen/option_switches.rb +0 -60
  217. data/lib/rggen/options.rb +0 -97
  218. data/lib/rggen/output_base/code_generator.rb +0 -36
  219. data/lib/rggen/output_base/component.rb +0 -78
  220. data/lib/rggen/output_base/component_factory.rb +0 -32
  221. data/lib/rggen/output_base/file_writer.rb +0 -36
  222. data/lib/rggen/output_base/item.rb +0 -110
  223. data/lib/rggen/output_base/item_factory.rb +0 -9
  224. data/lib/rggen/output_base/template_engine.rb +0 -24
  225. data/lib/rggen/rggen_home.rb +0 -3
  226. data/ral/LICENSE +0 -21
  227. data/ral/compile.f +0 -2
  228. data/ral/rggen_ral_block.svh +0 -83
  229. data/ral/rggen_ral_field.svh +0 -47
  230. data/ral/rggen_ral_field_rwl_rwe.svh +0 -158
  231. data/ral/rggen_ral_indirect_reg.svh +0 -193
  232. data/ral/rggen_ral_macros.svh +0 -27
  233. data/ral/rggen_ral_map.svh +0 -124
  234. data/ral/rggen_ral_pkg.sv +0 -15
  235. data/ral/rggen_ral_reg.svh +0 -88
  236. data/rtl/LICENSE +0 -21
  237. data/rtl/compile.f +0 -18
  238. data/rtl/rggen_address_decoder.sv +0 -23
  239. data/rtl/rggen_apb_if.sv +0 -41
  240. data/rtl/rggen_axi4lite_if.sv +0 -68
  241. data/rtl/rggen_bit_field_if.sv +0 -28
  242. data/rtl/rggen_bit_field_ro.sv +0 -9
  243. data/rtl/rggen_bit_field_rw.sv +0 -25
  244. data/rtl/rggen_bit_field_rwl_rwe.sv +0 -29
  245. data/rtl/rggen_bit_field_w01s_w01c.sv +0 -55
  246. data/rtl/rggen_bus_if.sv +0 -43
  247. data/rtl/rggen_bus_splitter.sv +0 -86
  248. data/rtl/rggen_default_register.sv +0 -15
  249. data/rtl/rggen_external_register.sv +0 -83
  250. data/rtl/rggen_host_if_apb.sv +0 -29
  251. data/rtl/rggen_host_if_axi4lite.sv +0 -161
  252. data/rtl/rggen_indirect_register.sv +0 -21
  253. data/rtl/rggen_register_base.sv +0 -57
  254. data/rtl/rggen_register_if.sv +0 -42
  255. data/rtl/rggen_rtl_pkg.sv +0 -23
  256. data/sample/LICENSE +0 -21
  257. data/sample/sample.csv +0 -21
  258. data/sample/sample.json +0 -6
  259. data/sample/sample.xls +0 -0
  260. data/sample/sample.xlsx +0 -0
  261. data/sample/sample.yaml +0 -4
  262. data/sample/sample_0.h +0 -17
  263. data/sample/sample_0.sv +0 -402
  264. data/sample/sample_0_ral_pkg.sv +0 -145
  265. data/sample/sample_1.h +0 -9
  266. data/sample/sample_1.sv +0 -128
  267. data/sample/sample_1_ral_pkg.sv +0 -56
  268. data/sample/sample_setup.rb +0 -24
  269. data/setup/default.rb +0 -14
@@ -1,21 +0,0 @@
1
- module rggen_indirect_register #(
2
- parameter int ADDRESS_WIDTH = 16,
3
- parameter bit [ADDRESS_WIDTH-1:0] START_ADDRESS = '0,
4
- parameter bit [ADDRESS_WIDTH-1:0] END_ADDRESS = '0,
5
- parameter int INDEX_WIDTH = 1,
6
- parameter bit [INDEX_WIDTH-1:0] INDEX_VALUE = '0,
7
- parameter int DATA_WIDTH = 32,
8
- parameter bit [DATA_WIDTH-1:0] VALID_BITS = '0
9
- )(
10
- rggen_register_if.slave register_if,
11
- rggen_bit_field_if.master bit_field_if,
12
- input logic [INDEX_WIDTH-1:0] i_index
13
- );
14
- logic index_match;
15
-
16
- assign index_match = (i_index == INDEX_VALUE) ? 1'b1 : 1'b0;
17
- rggen_register_base #(
18
- ADDRESS_WIDTH, START_ADDRESS, END_ADDRESS,
19
- DATA_WIDTH, VALID_BITS
20
- ) u_register_base (register_if, bit_field_if, index_match);
21
- endmodule
@@ -1,57 +0,0 @@
1
- module rggen_register_base #(
2
- parameter int ADDRESS_WIDTH = 16,
3
- parameter bit [ADDRESS_WIDTH-1:0] START_ADDRESS = '0,
4
- parameter bit [ADDRESS_WIDTH-1:0] END_ADDRESS = '0,
5
- parameter int DATA_WIDTH = 32,
6
- parameter bit [DATA_WIDTH-1:0] VALID_BITS = '0
7
- )(
8
- rggen_register_if.slave register_if,
9
- rggen_bit_field_if.master bit_field_if,
10
- input logic i_additional_match
11
- );
12
- import rggen_rtl_pkg::*;
13
-
14
- logic address_match;
15
- logic select;
16
- genvar g_i;
17
-
18
- // Decode Address
19
- assign select = (address_match && i_additional_match) ? 1'b1 : 1'b0;
20
- rggen_address_decoder #(
21
- ADDRESS_WIDTH, START_ADDRESS, END_ADDRESS, DATA_WIDTH
22
- ) u_address_decoder (register_if.address, address_match);
23
-
24
- // Drive Register IF
25
- assign register_if.select = select;
26
- assign register_if.ready = (register_if.request && select) ? 1'b1 : 1'b0;
27
- assign register_if.status = RGGEN_OKAY;
28
-
29
- generate for (g_i = 0;g_i < DATA_WIDTH;++g_i) begin : g
30
- if (VALID_BITS[g_i]) begin
31
- assign register_if.value[g_i] = bit_field_if.value[g_i];
32
- assign register_if.read_data[g_i] = bit_field_if.read_data[g_i];
33
- end
34
- else begin
35
- assign register_if.value[g_i] = '0;
36
- assign register_if.read_data[g_i] = '0;
37
- end
38
- end endgenerate
39
-
40
- // Drive Bit Field IF
41
- assign bit_field_if.read_access = (
42
- register_if.request && select && (register_if.direction == RGGEN_READ)
43
- ) ? 1'b1 : 1'b0;
44
- assign bit_field_if.write_access = (
45
- register_if.request && select && (register_if.direction == RGGEN_WRITE)
46
- ) ? 1'b1 : 1'b0;
47
- assign bit_field_if.write_data = register_if.write_data;
48
- assign bit_field_if.write_mask = get_write_mask(register_if.write_strobe);
49
-
50
- function automatic logic [DATA_WIDTH-1:0] get_write_mask(logic [DATA_WIDTH/8-1:0] strobe);
51
- logic [DATA_WIDTH-1:0] mask;
52
- for (int i= 0;i < DATA_WIDTH;i += 8) begin
53
- mask[i+:8] = {8{strobe[i/8]}};
54
- end
55
- return mask;
56
- endfunction
57
- endmodule
@@ -1,42 +0,0 @@
1
- interface rggen_register_if #(
2
- parameter int ADDRESS_WIDTH = 16,
3
- parameter int DATA_WIDTH = 32
4
- )();
5
- import rggen_rtl_pkg::*;
6
-
7
- logic request;
8
- logic select;
9
- logic [ADDRESS_WIDTH-1:0] address;
10
- rggen_direction direction;
11
- logic [DATA_WIDTH-1:0] write_data;
12
- logic [DATA_WIDTH/8-1:0] write_strobe;
13
- logic ready;
14
- logic [DATA_WIDTH-1:0] read_data;
15
- logic [DATA_WIDTH-1:0] value;
16
- rggen_status status;
17
-
18
- modport master (
19
- output request,
20
- input select,
21
- output address,
22
- output direction,
23
- output write_data,
24
- output write_strobe,
25
- input ready,
26
- input read_data,
27
- input status
28
- );
29
-
30
- modport slave (
31
- input request,
32
- output select,
33
- input address,
34
- input direction,
35
- input write_data,
36
- input write_strobe,
37
- output ready,
38
- output read_data,
39
- output status,
40
- output value
41
- );
42
- endinterface
data/rtl/rggen_rtl_pkg.sv DELETED
@@ -1,23 +0,0 @@
1
- package rggen_rtl_pkg;
2
- typedef enum logic {
3
- RGGEN_READ = 1'b0,
4
- RGGEN_WRITE = 1'b1
5
- } rggen_direction;
6
-
7
- typedef enum logic [1:0] {
8
- RGGEN_OKAY = 2'b00,
9
- RGGEN_EXOKAY = 2'b01,
10
- RGGEN_SLAVE_ERROR = 2'b10,
11
- RGGEN_DECODE_ERROR = 2'b11
12
- } rggen_status;
13
-
14
- typedef enum bit {
15
- RGGEN_SET_MODE = 1'b0,
16
- RGGEN_CLEAR_MODE = 1'b1
17
- } rggen_rwsc_mode;
18
-
19
- typedef enum bit {
20
- RGGEN_LOCK_MODE = 1'b0,
21
- RGGEN_ENABLE_MODE = 1'b1
22
- } rggen_rwle_mode;
23
- endpackage
data/sample/LICENSE DELETED
@@ -1,21 +0,0 @@
1
- MIT License
2
-
3
- Copyright (c) 2017 Taichi Ishitani
4
-
5
- Permission is hereby granted, free of charge, to any person obtaining a copy
6
- of this software and associated documentation files (the "Software"), to deal
7
- in the Software without restriction, including without limitation the rights
8
- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9
- copies of the Software, and to permit persons to whom the Software is
10
- furnished to do so, subject to the following conditions:
11
-
12
- The above copyright notice and this permission notice shall be included in all
13
- copies or substantial portions of the Software.
14
-
15
- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16
- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17
- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18
- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19
- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20
- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
21
- SOFTWARE.
data/sample/sample.csv DELETED
@@ -1,21 +0,0 @@
1
- ,block name,block_0,,,,,,,
2
- ,byte size,256,,,,,,,
3
- ,,,,,,,,,
4
- ,offset address,registe name,array dimension,type,assignment,field name,type,initial value,reference
5
- ,0x00,register_0,,,[31:16],bit_field_0_0,rw,0,
6
- ,,,,,[15:0],bit_field_0_1,rw,0,
7
- ,0x04,register_1,,,[31:0],bit_field_1_0,rw,0,
8
- ,0x08,register_2,,,[16],bit_field_2_0,ro,,
9
- ,,,,,[0],bit_field_2_1,rw,0,
10
- ,0x0C,register_3,,,[31:0],bit_field_3_0,ro,,
11
- ,0x10 - 0x1F,register_4,[4],,[31:16],bit_field_4_0,ro,,
12
- ,,,,,[15:0],bit_field_4_1,rw,0,
13
- ,0x20,register_5,"[2,4]","indirect: bit_field_2_1:1, bit_field_0_0, bit_field_0_1",[31:16],bit_field_5_0,ro,,
14
- ,,,,,[15:0],bit_field_5_1,rw,0,
15
- ,0x24,register_6,,,[8],bit_field_6_0,w0c,0,bit_field_2_1
16
- ,,,,,[0],bit_field_6_1,w1c,0,bit_field_2_1
17
- ,0x28,register_7,,,[8],bit_field_7_0,w0s,0,
18
- ,,,,,[0],bit_field_7_1,w1s,0,
19
- ,0x2C,register_8,,,[31:16],bit_field_8_0,rwl,0,bit_field_2_1
20
- ,,,,,[15:0],bit_field_8_1,rwe,0,bit_field_2_1
21
- ,0x80-0xFF,register_9,,external,,,,,
data/sample/sample.json DELETED
@@ -1,6 +0,0 @@
1
- {
2
- "address_width": 16,
3
- "host_if": "apb",
4
- "array_port_format": "unpacked",
5
- "unfold_sv_interface_port": "no"
6
- }
data/sample/sample.xls DELETED
Binary file
data/sample/sample.xlsx DELETED
Binary file
data/sample/sample.yaml DELETED
@@ -1,4 +0,0 @@
1
- address_width: 16
2
- host_if: apb
3
- array_port_format: unpacked
4
- unfold_sv_interface_port: no
data/sample/sample_0.h DELETED
@@ -1,17 +0,0 @@
1
- #ifndef SAMPLE_0_H
2
- #define SAMPLE_0_H
3
- #include "rggen.h"
4
- typedef struct {
5
- rggen_uint32 register_0;
6
- rggen_uint32 register_1;
7
- rggen_uint32 register_2;
8
- rggen_uint32 register_3;
9
- rggen_uint32 register_4[4];
10
- rggen_uint32 register_5;
11
- rggen_uint32 register_6;
12
- rggen_uint32 register_7;
13
- rggen_uint32 register_8;
14
- rggen_uint32 __dummy_0[20];
15
- RGGEN_EXTERNAL_REGISTERS(128, REGISTER_9) register_9;
16
- } s_sample_0_address_struct;
17
- #endif
data/sample/sample_0.sv DELETED
@@ -1,402 +0,0 @@
1
- module sample_0 (
2
- input logic clk,
3
- input logic rst_n,
4
- rggen_apb_if.slave apb_if,
5
- output logic [15:0] o_bit_field_0_0,
6
- output logic [15:0] o_bit_field_0_1,
7
- output logic [31:0] o_bit_field_1_0,
8
- input logic i_bit_field_2_0,
9
- output logic o_bit_field_2_1,
10
- input logic [31:0] i_bit_field_3_0,
11
- input logic [15:0] i_bit_field_4_0[4],
12
- output logic [15:0] o_bit_field_4_1[4],
13
- input logic [15:0] i_bit_field_5_0[2][4],
14
- output logic [15:0] o_bit_field_5_1[2][4],
15
- input logic i_bit_field_6_0_set,
16
- output logic o_bit_field_6_0,
17
- input logic i_bit_field_6_1_set,
18
- output logic o_bit_field_6_1,
19
- output logic o_bit_field_7_0,
20
- input logic i_bit_field_7_0_clear,
21
- output logic o_bit_field_7_1,
22
- input logic i_bit_field_7_1_clear,
23
- output logic [15:0] o_bit_field_8_0,
24
- output logic [15:0] o_bit_field_8_1,
25
- rggen_bus_if.master register_9_bus_if
26
- );
27
- rggen_register_if #(8, 32) register_if[20]();
28
- `define rggen_connect_bit_field_if(RIF, FIF, MSB, LSB) \
29
- assign FIF.read_access = RIF.read_access; \
30
- assign FIF.write_access = RIF.write_access; \
31
- assign FIF.write_data = RIF.write_data[MSB:LSB]; \
32
- assign FIF.write_mask = RIF.write_mask[MSB:LSB]; \
33
- assign RIF.value[MSB:LSB] = FIF.value; \
34
- assign RIF.read_data[MSB:LSB] = FIF.read_data;
35
- rggen_host_if_apb #(
36
- .LOCAL_ADDRESS_WIDTH (8),
37
- .DATA_WIDTH (32),
38
- .TOTAL_REGISTERS (20)
39
- ) u_host_if (
40
- .clk (clk),
41
- .rst_n (rst_n),
42
- .apb_if (apb_if),
43
- .register_if (register_if)
44
- );
45
- generate if (1) begin : g_register_0
46
- rggen_bit_field_if #(32) bit_field_if();
47
- rggen_default_register #(
48
- .ADDRESS_WIDTH (8),
49
- .START_ADDRESS (8'h00),
50
- .END_ADDRESS (8'h03),
51
- .DATA_WIDTH (32),
52
- .VALID_BITS (32'hffffffff)
53
- ) u_register (
54
- .register_if (register_if[0]),
55
- .bit_field_if (bit_field_if)
56
- );
57
- if (1) begin : g_bit_field_0_0
58
- rggen_bit_field_if #(16) bit_field_sub_if();
59
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 31, 16)
60
- rggen_bit_field_rw #(
61
- .WIDTH (16),
62
- .INITIAL_VALUE (16'h0000)
63
- ) u_bit_field (
64
- .clk (clk),
65
- .rst_n (rst_n),
66
- .bit_field_if (bit_field_sub_if),
67
- .o_value (o_bit_field_0_0)
68
- );
69
- end
70
- if (1) begin : g_bit_field_0_1
71
- rggen_bit_field_if #(16) bit_field_sub_if();
72
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 15, 0)
73
- rggen_bit_field_rw #(
74
- .WIDTH (16),
75
- .INITIAL_VALUE (16'h0000)
76
- ) u_bit_field (
77
- .clk (clk),
78
- .rst_n (rst_n),
79
- .bit_field_if (bit_field_sub_if),
80
- .o_value (o_bit_field_0_1)
81
- );
82
- end
83
- end endgenerate
84
- generate if (1) begin : g_register_1
85
- rggen_bit_field_if #(32) bit_field_if();
86
- rggen_default_register #(
87
- .ADDRESS_WIDTH (8),
88
- .START_ADDRESS (8'h04),
89
- .END_ADDRESS (8'h07),
90
- .DATA_WIDTH (32),
91
- .VALID_BITS (32'hffffffff)
92
- ) u_register (
93
- .register_if (register_if[1]),
94
- .bit_field_if (bit_field_if)
95
- );
96
- if (1) begin : g_bit_field_1_0
97
- rggen_bit_field_if #(32) bit_field_sub_if();
98
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 31, 0)
99
- rggen_bit_field_rw #(
100
- .WIDTH (32),
101
- .INITIAL_VALUE (32'h00000000)
102
- ) u_bit_field (
103
- .clk (clk),
104
- .rst_n (rst_n),
105
- .bit_field_if (bit_field_sub_if),
106
- .o_value (o_bit_field_1_0)
107
- );
108
- end
109
- end endgenerate
110
- generate if (1) begin : g_register_2
111
- rggen_bit_field_if #(32) bit_field_if();
112
- rggen_default_register #(
113
- .ADDRESS_WIDTH (8),
114
- .START_ADDRESS (8'h08),
115
- .END_ADDRESS (8'h0b),
116
- .DATA_WIDTH (32),
117
- .VALID_BITS (32'h00010001)
118
- ) u_register (
119
- .register_if (register_if[2]),
120
- .bit_field_if (bit_field_if)
121
- );
122
- if (1) begin : g_bit_field_2_0
123
- rggen_bit_field_if #(1) bit_field_sub_if();
124
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 16, 16)
125
- rggen_bit_field_ro #(
126
- .WIDTH (1)
127
- ) u_bit_field (
128
- .bit_field_if (bit_field_sub_if),
129
- .i_value (i_bit_field_2_0)
130
- );
131
- end
132
- if (1) begin : g_bit_field_2_1
133
- rggen_bit_field_if #(1) bit_field_sub_if();
134
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 0, 0)
135
- rggen_bit_field_rw #(
136
- .WIDTH (1),
137
- .INITIAL_VALUE (1'h0)
138
- ) u_bit_field (
139
- .clk (clk),
140
- .rst_n (rst_n),
141
- .bit_field_if (bit_field_sub_if),
142
- .o_value (o_bit_field_2_1)
143
- );
144
- end
145
- end endgenerate
146
- generate if (1) begin : g_register_3
147
- rggen_bit_field_if #(32) bit_field_if();
148
- rggen_default_register #(
149
- .ADDRESS_WIDTH (8),
150
- .START_ADDRESS (8'h0c),
151
- .END_ADDRESS (8'h0f),
152
- .DATA_WIDTH (32),
153
- .VALID_BITS (32'hffffffff)
154
- ) u_register (
155
- .register_if (register_if[3]),
156
- .bit_field_if (bit_field_if)
157
- );
158
- if (1) begin : g_bit_field_3_0
159
- rggen_bit_field_if #(32) bit_field_sub_if();
160
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 31, 0)
161
- rggen_bit_field_ro #(
162
- .WIDTH (32)
163
- ) u_bit_field (
164
- .bit_field_if (bit_field_sub_if),
165
- .i_value (i_bit_field_3_0)
166
- );
167
- end
168
- end endgenerate
169
- generate if (1) begin : g_register_4
170
- genvar g_i;
171
- for (g_i = 0;g_i < 4;++g_i) begin : g
172
- rggen_bit_field_if #(32) bit_field_if();
173
- rggen_default_register #(
174
- .ADDRESS_WIDTH (8),
175
- .START_ADDRESS (8'h10 + 8'h04 * g_i),
176
- .END_ADDRESS (8'h13 + 8'h04 * g_i),
177
- .DATA_WIDTH (32),
178
- .VALID_BITS (32'hffffffff)
179
- ) u_register (
180
- .register_if (register_if[4+g_i]),
181
- .bit_field_if (bit_field_if)
182
- );
183
- if (1) begin : g_bit_field_4_0
184
- rggen_bit_field_if #(16) bit_field_sub_if();
185
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 31, 16)
186
- rggen_bit_field_ro #(
187
- .WIDTH (16)
188
- ) u_bit_field (
189
- .bit_field_if (bit_field_sub_if),
190
- .i_value (i_bit_field_4_0[g_i])
191
- );
192
- end
193
- if (1) begin : g_bit_field_4_1
194
- rggen_bit_field_if #(16) bit_field_sub_if();
195
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 15, 0)
196
- rggen_bit_field_rw #(
197
- .WIDTH (16),
198
- .INITIAL_VALUE (16'h0000)
199
- ) u_bit_field (
200
- .clk (clk),
201
- .rst_n (rst_n),
202
- .bit_field_if (bit_field_sub_if),
203
- .o_value (o_bit_field_4_1[g_i])
204
- );
205
- end
206
- end
207
- end endgenerate
208
- generate if (1) begin : g_register_5
209
- genvar g_i;
210
- for (g_i = 0;g_i < 2;++g_i) begin : g
211
- genvar g_j;
212
- for (g_j = 0;g_j < 4;++g_j) begin : g
213
- rggen_bit_field_if #(32) bit_field_if();
214
- logic [32:0] indirect_index;
215
- assign indirect_index = {register_if[2].value[0], register_if[0].value[31:16], register_if[0].value[15:0]};
216
- rggen_indirect_register #(
217
- .ADDRESS_WIDTH (8),
218
- .START_ADDRESS (8'h20),
219
- .END_ADDRESS (8'h23),
220
- .INDEX_WIDTH (33),
221
- .INDEX_VALUE ({1'h1, g_i[15:0], g_j[15:0]}),
222
- .DATA_WIDTH (32),
223
- .VALID_BITS (32'hffffffff)
224
- ) u_register (
225
- .register_if (register_if[8+4*g_i+g_j]),
226
- .bit_field_if (bit_field_if),
227
- .i_index (indirect_index)
228
- );
229
- if (1) begin : g_bit_field_5_0
230
- rggen_bit_field_if #(16) bit_field_sub_if();
231
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 31, 16)
232
- rggen_bit_field_ro #(
233
- .WIDTH (16)
234
- ) u_bit_field (
235
- .bit_field_if (bit_field_sub_if),
236
- .i_value (i_bit_field_5_0[g_i][g_j])
237
- );
238
- end
239
- if (1) begin : g_bit_field_5_1
240
- rggen_bit_field_if #(16) bit_field_sub_if();
241
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 15, 0)
242
- rggen_bit_field_rw #(
243
- .WIDTH (16),
244
- .INITIAL_VALUE (16'h0000)
245
- ) u_bit_field (
246
- .clk (clk),
247
- .rst_n (rst_n),
248
- .bit_field_if (bit_field_sub_if),
249
- .o_value (o_bit_field_5_1[g_i][g_j])
250
- );
251
- end
252
- end
253
- end
254
- end endgenerate
255
- generate if (1) begin : g_register_6
256
- rggen_bit_field_if #(32) bit_field_if();
257
- rggen_default_register #(
258
- .ADDRESS_WIDTH (8),
259
- .START_ADDRESS (8'h24),
260
- .END_ADDRESS (8'h27),
261
- .DATA_WIDTH (32),
262
- .VALID_BITS (32'h00000101)
263
- ) u_register (
264
- .register_if (register_if[16]),
265
- .bit_field_if (bit_field_if)
266
- );
267
- if (1) begin : g_bit_field_6_0
268
- rggen_bit_field_if #(1) bit_field_sub_if();
269
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 8, 8)
270
- rggen_bit_field_w01s_w01c #(
271
- .MODE (rggen_rtl_pkg::RGGEN_CLEAR_MODE),
272
- .SET_CLEAR_VALUE (0),
273
- .WIDTH (1),
274
- .INITIAL_VALUE (1'h0)
275
- ) u_bit_field (
276
- .clk (clk),
277
- .rst_n (rst_n),
278
- .i_set_or_clear (i_bit_field_6_0_set),
279
- .bit_field_if (bit_field_sub_if),
280
- .o_value (o_bit_field_6_0)
281
- );
282
- end
283
- if (1) begin : g_bit_field_6_1
284
- rggen_bit_field_if #(1) bit_field_sub_if();
285
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 0, 0)
286
- rggen_bit_field_w01s_w01c #(
287
- .MODE (rggen_rtl_pkg::RGGEN_CLEAR_MODE),
288
- .SET_CLEAR_VALUE (1),
289
- .WIDTH (1),
290
- .INITIAL_VALUE (1'h0)
291
- ) u_bit_field (
292
- .clk (clk),
293
- .rst_n (rst_n),
294
- .i_set_or_clear (i_bit_field_6_1_set),
295
- .bit_field_if (bit_field_sub_if),
296
- .o_value (o_bit_field_6_1)
297
- );
298
- end
299
- end endgenerate
300
- generate if (1) begin : g_register_7
301
- rggen_bit_field_if #(32) bit_field_if();
302
- rggen_default_register #(
303
- .ADDRESS_WIDTH (8),
304
- .START_ADDRESS (8'h28),
305
- .END_ADDRESS (8'h2b),
306
- .DATA_WIDTH (32),
307
- .VALID_BITS (32'h00000101)
308
- ) u_register (
309
- .register_if (register_if[17]),
310
- .bit_field_if (bit_field_if)
311
- );
312
- if (1) begin : g_bit_field_7_0
313
- rggen_bit_field_if #(1) bit_field_sub_if();
314
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 8, 8)
315
- rggen_bit_field_w01s_w01c #(
316
- .MODE (rggen_rtl_pkg::RGGEN_SET_MODE),
317
- .SET_CLEAR_VALUE (0),
318
- .WIDTH (1),
319
- .INITIAL_VALUE (1'h0)
320
- ) u_bit_field (
321
- .clk (clk),
322
- .rst_n (rst_n),
323
- .i_set_or_clear (i_bit_field_7_0_clear),
324
- .bit_field_if (bit_field_sub_if),
325
- .o_value (o_bit_field_7_0)
326
- );
327
- end
328
- if (1) begin : g_bit_field_7_1
329
- rggen_bit_field_if #(1) bit_field_sub_if();
330
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 0, 0)
331
- rggen_bit_field_w01s_w01c #(
332
- .MODE (rggen_rtl_pkg::RGGEN_SET_MODE),
333
- .SET_CLEAR_VALUE (1),
334
- .WIDTH (1),
335
- .INITIAL_VALUE (1'h0)
336
- ) u_bit_field (
337
- .clk (clk),
338
- .rst_n (rst_n),
339
- .i_set_or_clear (i_bit_field_7_1_clear),
340
- .bit_field_if (bit_field_sub_if),
341
- .o_value (o_bit_field_7_1)
342
- );
343
- end
344
- end endgenerate
345
- generate if (1) begin : g_register_8
346
- rggen_bit_field_if #(32) bit_field_if();
347
- rggen_default_register #(
348
- .ADDRESS_WIDTH (8),
349
- .START_ADDRESS (8'h2c),
350
- .END_ADDRESS (8'h2f),
351
- .DATA_WIDTH (32),
352
- .VALID_BITS (32'hffffffff)
353
- ) u_register (
354
- .register_if (register_if[18]),
355
- .bit_field_if (bit_field_if)
356
- );
357
- if (1) begin : g_bit_field_8_0
358
- rggen_bit_field_if #(16) bit_field_sub_if();
359
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 31, 16)
360
- rggen_bit_field_rwl_rwe #(
361
- .MODE (rggen_rtl_pkg::RGGEN_LOCK_MODE),
362
- .WIDTH (16),
363
- .INITIAL_VALUE (16'h0000)
364
- ) u_bit_field (
365
- .clk (clk),
366
- .rst_n (rst_n),
367
- .i_lock_or_enable (register_if[2].value[0]),
368
- .bit_field_if (bit_field_sub_if),
369
- .o_value (o_bit_field_8_0)
370
- );
371
- end
372
- if (1) begin : g_bit_field_8_1
373
- rggen_bit_field_if #(16) bit_field_sub_if();
374
- `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 15, 0)
375
- rggen_bit_field_rwl_rwe #(
376
- .MODE (rggen_rtl_pkg::RGGEN_ENABLE_MODE),
377
- .WIDTH (16),
378
- .INITIAL_VALUE (16'h0000)
379
- ) u_bit_field (
380
- .clk (clk),
381
- .rst_n (rst_n),
382
- .i_lock_or_enable (register_if[2].value[0]),
383
- .bit_field_if (bit_field_sub_if),
384
- .o_value (o_bit_field_8_1)
385
- );
386
- end
387
- end endgenerate
388
- generate if (1) begin : g_register_9
389
- rggen_external_register #(
390
- .ADDRESS_WIDTH (8),
391
- .START_ADDRESS (8'h80),
392
- .END_ADDRESS (8'hff),
393
- .DATA_WIDTH (32)
394
- ) u_register (
395
- .clk (clk),
396
- .rst_n (rst_n),
397
- .register_if (register_if[19]),
398
- .bus_if (register_9_bus_if)
399
- );
400
- end endgenerate
401
- `undef rggen_connect_bit_field_if
402
- endmodule