rggen 0.8.2 → 0.9.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (269) hide show
  1. checksums.yaml +4 -4
  2. data/CODE_OF_CONDUCT.md +54 -29
  3. data/{LICENSE.txt → LICENSE} +1 -1
  4. data/README.md +65 -56
  5. data/lib/rggen.rb +4 -63
  6. data/lib/rggen/built_in.rb +53 -0
  7. data/lib/rggen/built_in/bit_field/bit_assignment.rb +108 -0
  8. data/lib/rggen/built_in/bit_field/comment.rb +16 -0
  9. data/lib/rggen/built_in/bit_field/initial_value.rb +45 -0
  10. data/lib/rggen/built_in/bit_field/name.rb +39 -0
  11. data/lib/rggen/built_in/bit_field/reference.rb +100 -0
  12. data/lib/rggen/built_in/bit_field/sv_rtl_top.rb +87 -0
  13. data/lib/rggen/built_in/bit_field/type.rb +279 -0
  14. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb +15 -0
  15. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb +68 -0
  16. data/lib/rggen/built_in/bit_field/type/reserved.erb +3 -0
  17. data/lib/rggen/built_in/bit_field/type/reserved.rb +16 -0
  18. data/lib/rggen/built_in/bit_field/type/ro.erb +6 -0
  19. data/lib/rggen/built_in/bit_field/type/ro.rb +34 -0
  20. data/lib/rggen/built_in/bit_field/type/rof.erb +6 -0
  21. data/lib/rggen/built_in/bit_field/type/rof.rb +17 -0
  22. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb +13 -0
  23. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb +52 -0
  24. data/lib/rggen/built_in/bit_field/type/rw_wo.erb +9 -0
  25. data/lib/rggen/built_in/bit_field/type/rw_wo.rb +33 -0
  26. data/lib/rggen/built_in/bit_field/type/rwe_rwl.erb +14 -0
  27. data/lib/rggen/built_in/bit_field/type/rwe_rwl.rb +39 -0
  28. data/lib/rggen/built_in/global/address_width.rb +32 -0
  29. data/lib/rggen/built_in/global/array_port_format.rb +19 -0
  30. data/lib/rggen/built_in/global/bus_width.rb +33 -0
  31. data/lib/rggen/built_in/global/fold_sv_interface_port.rb +24 -0
  32. data/lib/rggen/built_in/register/name.rb +34 -0
  33. data/lib/rggen/built_in/register/offset_address.rb +96 -0
  34. data/lib/rggen/built_in/register/size.rb +49 -0
  35. data/lib/rggen/built_in/register/sv_rtl_top.rb +82 -0
  36. data/lib/rggen/built_in/register/type.rb +374 -0
  37. data/lib/rggen/built_in/register/type/default_sv_ral.erb +8 -0
  38. data/lib/rggen/built_in/register/type/default_sv_rtl.erb +15 -0
  39. data/lib/rggen/built_in/register/type/external.erb +11 -0
  40. data/lib/rggen/built_in/register/type/external.rb +141 -0
  41. data/lib/rggen/built_in/register/type/indirect.rb +329 -0
  42. data/lib/rggen/built_in/register/type/indirect_sv_ral.erb +13 -0
  43. data/lib/rggen/built_in/register/type/indirect_sv_rtl.erb +17 -0
  44. data/lib/rggen/built_in/register_block/byte_size.rb +59 -0
  45. data/lib/rggen/built_in/register_block/name.rb +36 -0
  46. data/lib/rggen/built_in/register_block/protocol.rb +71 -0
  47. data/lib/rggen/built_in/register_block/protocol/apb.erb +10 -0
  48. data/lib/rggen/built_in/register_block/protocol/apb.rb +113 -0
  49. data/lib/rggen/built_in/register_block/protocol/axi4lite.erb +11 -0
  50. data/lib/rggen/built_in/register_block/protocol/axi4lite.rb +167 -0
  51. data/lib/rggen/built_in/register_block/sv_ral_block_model.erb +11 -0
  52. data/lib/rggen/built_in/register_block/sv_ral_package.rb +58 -0
  53. data/lib/rggen/built_in/register_block/sv_rtl_macros.erb +9 -0
  54. data/lib/rggen/built_in/register_block/sv_rtl_top.rb +87 -0
  55. data/lib/rggen/built_in/version.rb +7 -0
  56. data/lib/rggen/default_setup_file.rb +6 -0
  57. data/lib/rggen/setup/default.rb +26 -0
  58. data/lib/rggen/version.rb +5 -4
  59. data/sample/block_0.rb +85 -0
  60. data/sample/block_0.sv +601 -0
  61. data/sample/block_0.xlsx +0 -0
  62. data/sample/block_0.yml +94 -0
  63. data/sample/block_0_ral_pkg.sv +174 -0
  64. data/sample/block_1.rb +22 -0
  65. data/sample/block_1.sv +136 -0
  66. data/sample/block_1.xlsx +0 -0
  67. data/sample/block_1.yml +26 -0
  68. data/sample/block_1_ral_pkg.sv +68 -0
  69. data/sample/config.json +5 -0
  70. data/sample/config.yml +3 -0
  71. metadata +96 -270
  72. data/bin/rggen +0 -6
  73. data/c_header/LICENSE +0 -21
  74. data/c_header/rggen.h +0 -17
  75. data/lib/rggen/base/component.rb +0 -31
  76. data/lib/rggen/base/component_factory.rb +0 -53
  77. data/lib/rggen/base/hierarchical_accessors.rb +0 -87
  78. data/lib/rggen/base/hierarchical_item_accessors.rb +0 -79
  79. data/lib/rggen/base/internal_struct.rb +0 -28
  80. data/lib/rggen/base/item.rb +0 -35
  81. data/lib/rggen/base/item_factory.rb +0 -25
  82. data/lib/rggen/builder/builder.rb +0 -69
  83. data/lib/rggen/builder/category.rb +0 -63
  84. data/lib/rggen/builder/component_entry.rb +0 -50
  85. data/lib/rggen/builder/component_store.rb +0 -42
  86. data/lib/rggen/builder/input_component_store.rb +0 -25
  87. data/lib/rggen/builder/item_store.rb +0 -89
  88. data/lib/rggen/builder/list_item_entry.rb +0 -81
  89. data/lib/rggen/builder/output_component_store.rb +0 -13
  90. data/lib/rggen/builder/simple_item_entry.rb +0 -33
  91. data/lib/rggen/builtins.rb +0 -55
  92. data/lib/rggen/builtins/bit_field/bit_assignment.rb +0 -39
  93. data/lib/rggen/builtins/bit_field/field_model.rb +0 -38
  94. data/lib/rggen/builtins/bit_field/initial_value.rb +0 -36
  95. data/lib/rggen/builtins/bit_field/name.rb +0 -26
  96. data/lib/rggen/builtins/bit_field/reference.rb +0 -40
  97. data/lib/rggen/builtins/bit_field/rtl_top.rb +0 -11
  98. data/lib/rggen/builtins/bit_field/type.rb +0 -244
  99. data/lib/rggen/builtins/bit_field/types/reserved.erb +0 -6
  100. data/lib/rggen/builtins/bit_field/types/reserved.rb +0 -18
  101. data/lib/rggen/builtins/bit_field/types/ro.erb +0 -6
  102. data/lib/rggen/builtins/bit_field/types/ro.rb +0 -22
  103. data/lib/rggen/builtins/bit_field/types/rw.erb +0 -9
  104. data/lib/rggen/builtins/bit_field/types/rw.rb +0 -23
  105. data/lib/rggen/builtins/bit_field/types/rwl_rwe.erb +0 -11
  106. data/lib/rggen/builtins/bit_field/types/rwl_rwe.rb +0 -54
  107. data/lib/rggen/builtins/bit_field/types/w0c_w1c.erb +0 -12
  108. data/lib/rggen/builtins/bit_field/types/w0c_w1c.rb +0 -33
  109. data/lib/rggen/builtins/bit_field/types/w0s_w1s.erb +0 -12
  110. data/lib/rggen/builtins/bit_field/types/w0s_w1s.rb +0 -33
  111. data/lib/rggen/builtins/bit_field/types/wo.rb +0 -5
  112. data/lib/rggen/builtins/global/address_width.rb +0 -17
  113. data/lib/rggen/builtins/global/array_port_format.rb +0 -15
  114. data/lib/rggen/builtins/global/data_width.rb +0 -20
  115. data/lib/rggen/builtins/global/unfold_sv_interface_port.rb +0 -22
  116. data/lib/rggen/builtins/loaders/configuration/json_loader.rb +0 -7
  117. data/lib/rggen/builtins/loaders/configuration/yaml_loader.rb +0 -7
  118. data/lib/rggen/builtins/loaders/register_map/csv_loader.rb +0 -12
  119. data/lib/rggen/builtins/loaders/register_map/xls_loader.rb +0 -17
  120. data/lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb +0 -21
  121. data/lib/rggen/builtins/register/array.rb +0 -30
  122. data/lib/rggen/builtins/register/constructor.rb +0 -17
  123. data/lib/rggen/builtins/register/field_model_creator.rb +0 -14
  124. data/lib/rggen/builtins/register/indirect_index_configurator.rb +0 -54
  125. data/lib/rggen/builtins/register/name.rb +0 -26
  126. data/lib/rggen/builtins/register/offset_address.rb +0 -61
  127. data/lib/rggen/builtins/register/reg_model.rb +0 -107
  128. data/lib/rggen/builtins/register/rtl_top.rb +0 -68
  129. data/lib/rggen/builtins/register/sub_block_model.rb +0 -34
  130. data/lib/rggen/builtins/register/type.rb +0 -283
  131. data/lib/rggen/builtins/register/types/default.erb +0 -10
  132. data/lib/rggen/builtins/register/types/external.erb +0 -11
  133. data/lib/rggen/builtins/register/types/external.rb +0 -77
  134. data/lib/rggen/builtins/register/types/indirect.erb +0 -13
  135. data/lib/rggen/builtins/register/types/indirect.rb +0 -175
  136. data/lib/rggen/builtins/register/uniqueness_validator.rb +0 -51
  137. data/lib/rggen/builtins/register_block/address_struct.rb +0 -56
  138. data/lib/rggen/builtins/register_block/base_address.rb +0 -64
  139. data/lib/rggen/builtins/register_block/block_model.rb +0 -20
  140. data/lib/rggen/builtins/register_block/byte_size.rb +0 -37
  141. data/lib/rggen/builtins/register_block/c_header_file.rb +0 -15
  142. data/lib/rggen/builtins/register_block/clock_reset.rb +0 -8
  143. data/lib/rggen/builtins/register_block/constructor.rb +0 -14
  144. data/lib/rggen/builtins/register_block/default_map_creator.rb +0 -39
  145. data/lib/rggen/builtins/register_block/host_if.rb +0 -64
  146. data/lib/rggen/builtins/register_block/host_ifs/apb.erb +0 -10
  147. data/lib/rggen/builtins/register_block/host_ifs/apb.rb +0 -64
  148. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.erb +0 -11
  149. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.rb +0 -93
  150. data/lib/rggen/builtins/register_block/name.rb +0 -26
  151. data/lib/rggen/builtins/register_block/ral_package.rb +0 -24
  152. data/lib/rggen/builtins/register_block/rtl_top.rb +0 -38
  153. data/lib/rggen/builtins/register_block/sub_model_creator.rb +0 -14
  154. data/lib/rggen/commands.rb +0 -23
  155. data/lib/rggen/core_components.rb +0 -54
  156. data/lib/rggen/core_components/c_header/item.rb +0 -8
  157. data/lib/rggen/core_components/c_header/setup.rb +0 -19
  158. data/lib/rggen/core_components/c_utility.rb +0 -19
  159. data/lib/rggen/core_components/c_utility/data_structure_definition.rb +0 -60
  160. data/lib/rggen/core_components/c_utility/source_file.rb +0 -10
  161. data/lib/rggen/core_components/c_utility/variable_declaration.rb +0 -35
  162. data/lib/rggen/core_components/code_utility.rb +0 -56
  163. data/lib/rggen/core_components/code_utility/code_block.rb +0 -72
  164. data/lib/rggen/core_components/code_utility/line.rb +0 -28
  165. data/lib/rggen/core_components/code_utility/source_file.rb +0 -97
  166. data/lib/rggen/core_components/configuration/configuration_factory.rb +0 -23
  167. data/lib/rggen/core_components/configuration/item.rb +0 -11
  168. data/lib/rggen/core_components/configuration/item_factory.rb +0 -20
  169. data/lib/rggen/core_components/configuration/raise_error.rb +0 -11
  170. data/lib/rggen/core_components/configuration/setup.rb +0 -14
  171. data/lib/rggen/core_components/erb_engine.rb +0 -15
  172. data/lib/rggen/core_components/ral/component.rb +0 -24
  173. data/lib/rggen/core_components/ral/item.rb +0 -59
  174. data/lib/rggen/core_components/ral/setup.rb +0 -19
  175. data/lib/rggen/core_components/register_map/bit_field_factory.rb +0 -11
  176. data/lib/rggen/core_components/register_map/component.rb +0 -15
  177. data/lib/rggen/core_components/register_map/component_factory.rb +0 -9
  178. data/lib/rggen/core_components/register_map/generic_map.rb +0 -80
  179. data/lib/rggen/core_components/register_map/item.rb +0 -26
  180. data/lib/rggen/core_components/register_map/item_factory.rb +0 -26
  181. data/lib/rggen/core_components/register_map/loader.rb +0 -11
  182. data/lib/rggen/core_components/register_map/raise_error.rb +0 -17
  183. data/lib/rggen/core_components/register_map/register_block_factory.rb +0 -29
  184. data/lib/rggen/core_components/register_map/register_factory.rb +0 -18
  185. data/lib/rggen/core_components/register_map/register_map_factory.rb +0 -18
  186. data/lib/rggen/core_components/register_map/setup.rb +0 -33
  187. data/lib/rggen/core_components/rtl/component.rb +0 -24
  188. data/lib/rggen/core_components/rtl/item.rb +0 -82
  189. data/lib/rggen/core_components/rtl/setup.rb +0 -19
  190. data/lib/rggen/core_components/verilog_utility.rb +0 -88
  191. data/lib/rggen/core_components/verilog_utility/class_definition.rb +0 -56
  192. data/lib/rggen/core_components/verilog_utility/identifier.rb +0 -78
  193. data/lib/rggen/core_components/verilog_utility/interface_instance.rb +0 -37
  194. data/lib/rggen/core_components/verilog_utility/interface_port.rb +0 -33
  195. data/lib/rggen/core_components/verilog_utility/local_scope.rb +0 -75
  196. data/lib/rggen/core_components/verilog_utility/module_definition.rb +0 -82
  197. data/lib/rggen/core_components/verilog_utility/package_definition.rb +0 -57
  198. data/lib/rggen/core_components/verilog_utility/source_file.rb +0 -10
  199. data/lib/rggen/core_components/verilog_utility/structure_definition.rb +0 -51
  200. data/lib/rggen/core_components/verilog_utility/subroutine_definition.rb +0 -41
  201. data/lib/rggen/core_components/verilog_utility/variable.rb +0 -115
  202. data/lib/rggen/core_extensions/array.rb +0 -9
  203. data/lib/rggen/core_extensions/facets.rb +0 -22
  204. data/lib/rggen/core_extensions/forwardable.rb +0 -49
  205. data/lib/rggen/core_extensions/integer.rb +0 -5
  206. data/lib/rggen/core_extensions/math.rb +0 -7
  207. data/lib/rggen/core_extensions/roo.rb +0 -17
  208. data/lib/rggen/exceptions.rb +0 -28
  209. data/lib/rggen/generator.rb +0 -67
  210. data/lib/rggen/input_base/component.rb +0 -28
  211. data/lib/rggen/input_base/component_factory.rb +0 -58
  212. data/lib/rggen/input_base/item.rb +0 -171
  213. data/lib/rggen/input_base/item_factory.rb +0 -13
  214. data/lib/rggen/input_base/loader.rb +0 -23
  215. data/lib/rggen/input_base/regexp_patterns.rb +0 -29
  216. data/lib/rggen/option_switches.rb +0 -60
  217. data/lib/rggen/options.rb +0 -97
  218. data/lib/rggen/output_base/code_generator.rb +0 -36
  219. data/lib/rggen/output_base/component.rb +0 -78
  220. data/lib/rggen/output_base/component_factory.rb +0 -32
  221. data/lib/rggen/output_base/file_writer.rb +0 -36
  222. data/lib/rggen/output_base/item.rb +0 -110
  223. data/lib/rggen/output_base/item_factory.rb +0 -9
  224. data/lib/rggen/output_base/template_engine.rb +0 -24
  225. data/lib/rggen/rggen_home.rb +0 -3
  226. data/ral/LICENSE +0 -21
  227. data/ral/compile.f +0 -2
  228. data/ral/rggen_ral_block.svh +0 -83
  229. data/ral/rggen_ral_field.svh +0 -47
  230. data/ral/rggen_ral_field_rwl_rwe.svh +0 -158
  231. data/ral/rggen_ral_indirect_reg.svh +0 -193
  232. data/ral/rggen_ral_macros.svh +0 -27
  233. data/ral/rggen_ral_map.svh +0 -124
  234. data/ral/rggen_ral_pkg.sv +0 -15
  235. data/ral/rggen_ral_reg.svh +0 -88
  236. data/rtl/LICENSE +0 -21
  237. data/rtl/compile.f +0 -18
  238. data/rtl/rggen_address_decoder.sv +0 -23
  239. data/rtl/rggen_apb_if.sv +0 -41
  240. data/rtl/rggen_axi4lite_if.sv +0 -68
  241. data/rtl/rggen_bit_field_if.sv +0 -28
  242. data/rtl/rggen_bit_field_ro.sv +0 -9
  243. data/rtl/rggen_bit_field_rw.sv +0 -25
  244. data/rtl/rggen_bit_field_rwl_rwe.sv +0 -29
  245. data/rtl/rggen_bit_field_w01s_w01c.sv +0 -55
  246. data/rtl/rggen_bus_if.sv +0 -43
  247. data/rtl/rggen_bus_splitter.sv +0 -86
  248. data/rtl/rggen_default_register.sv +0 -15
  249. data/rtl/rggen_external_register.sv +0 -83
  250. data/rtl/rggen_host_if_apb.sv +0 -29
  251. data/rtl/rggen_host_if_axi4lite.sv +0 -161
  252. data/rtl/rggen_indirect_register.sv +0 -21
  253. data/rtl/rggen_register_base.sv +0 -57
  254. data/rtl/rggen_register_if.sv +0 -42
  255. data/rtl/rggen_rtl_pkg.sv +0 -23
  256. data/sample/LICENSE +0 -21
  257. data/sample/sample.csv +0 -21
  258. data/sample/sample.json +0 -6
  259. data/sample/sample.xls +0 -0
  260. data/sample/sample.xlsx +0 -0
  261. data/sample/sample.yaml +0 -4
  262. data/sample/sample_0.h +0 -17
  263. data/sample/sample_0.sv +0 -402
  264. data/sample/sample_0_ral_pkg.sv +0 -145
  265. data/sample/sample_1.h +0 -9
  266. data/sample/sample_1.sv +0 -128
  267. data/sample/sample_1_ral_pkg.sv +0 -56
  268. data/sample/sample_setup.rb +0 -24
  269. data/setup/default.rb +0 -14
Binary file
@@ -0,0 +1,94 @@
1
+ register_blocks: [
2
+ {
3
+ name: block_0,
4
+ byte_size: 256,
5
+ registers: [
6
+ {
7
+ name: register_0,
8
+ offset_address: 0x00,
9
+ bit_fields: [
10
+ { name: bit_field_0, bit_assignment: { lsb: 0 , width: 4 }, type: rw, initial_value: 0 },
11
+ { name: bit_field_1, bit_assignment: { lsb: 4 , width: 4 }, type: rw, initial_value: 0 },
12
+ { name: bit_field_2, bit_assignment: { lsb: 8 , width: 1 }, type: rw, initial_value: 0 }
13
+ ]
14
+ },
15
+ {
16
+ name: register_1,
17
+ offset_address: 0x04,
18
+ bit_fields: [
19
+ { name: bit_field_0, bit_assignment: { lsb: 0 , width: 4 }, type: ro },
20
+ { name: bit_field_1, bit_assignment: { lsb: 8 , width: 4 }, type: ro },
21
+ { name: bit_field_2, bit_assignment: { lsb: 16, width: 8 }, type: rof, initial_value: 0xab },
22
+ { name: bit_field_3, bit_assignment: { lsb: 24, width: 8 }, type: reserved }
23
+ ]
24
+ },
25
+ {
26
+ name: register_2,
27
+ offset_address: 0x04,
28
+ bit_fields: [
29
+ { name: bit_field_0, bit_assignment: { lsb: 0, width: 4 }, type: wo, initial_value: 0 },
30
+ { name: bit_field_1, bit_assignment: { lsb: 8, width: 4 }, type: wo, initial_value: 0 }
31
+ ]
32
+ },
33
+ {
34
+ name: register_3,
35
+ offset_address: 0x08,
36
+ bit_fields: [
37
+ { name: bit_field_0, bit_assignment: { lsb: 0 , width: 4 }, type: rc, initial_value: 0 },
38
+ { name: bit_field_1, bit_assignment: { lsb: 8 , width: 4 }, type: rc, initial_value: 0, reference: register_0.bit_field_0 },
39
+ { name: bit_field_2, bit_assignment: { lsb: 12, width: 4 }, type: ro, reference: register_3.bit_field_1 },
40
+ { name: bit_field_3, bit_assignment: { lsb: 16, width: 4 }, type: rs, initial_value: 0 }
41
+ ]
42
+ },
43
+ {
44
+ name: register_4,
45
+ offset_address: 0x0C,
46
+ bit_fields: [
47
+ { name: bit_field_0, bit_assignment: { lsb: 0 , width: 8 }, type: rwe, initial_value: 0, reference: register_0.bit_field_2 },
48
+ { name: bit_field_1, bit_assignment: { lsb: 16, width: 8 }, type: rwl, initial_value: 0, reference: register_0.bit_field_2 }
49
+ ]
50
+ },
51
+ {
52
+ name: register_5,
53
+ offset_address: 0x10,
54
+ bit_fields: [
55
+ { name: bit_field_0, bit_assignment: { lsb: 0 , width: 4 }, type: w0c, initial_value: 0 },
56
+ { name: bit_field_1, bit_assignment: { lsb: 4 , width: 4 }, type: w0c, initial_value: 0, reference: register_0.bit_field_0 },
57
+ { name: bit_field_2, bit_assignment: { lsb: 8 , width: 4 }, type: ro , reference: register_5.bit_field_1 },
58
+ { name: bit_field_3, bit_assignment: { lsb: 12, width: 4 }, type: w1c, initial_value: 0 },
59
+ { name: bit_field_4, bit_assignment: { lsb: 16, width: 4 }, type: w1c, initial_value: 0, reference: register_0.bit_field_0 },
60
+ { name: bit_field_5, bit_assignment: { lsb: 20, width: 4 }, type: ro , reference: register_5.bit_field_4 },
61
+ { name: bit_field_6, bit_assignment: { lsb: 24, width: 4 }, type: w0s, initial_value: 0 },
62
+ { name: bit_field_7, bit_assignment: { lsb: 28, width: 4 }, type: w1s, initial_value: 0 },
63
+ ]
64
+ },
65
+ {
66
+ name: register_6,
67
+ offset_address: 0x20,
68
+ size: 4,
69
+ bit_fields: [
70
+ # bit assignments: [7:0] [23:16] [39:32] [55:48]
71
+ { name: bit_field_0, bit_assignment: { lsb: 0 , width: 8, sequence_size: 4, step: 16 }, type: rw, initial_value: 0 },
72
+ # bit assignments: [15:8] [31:24] [47:40] [63:56]
73
+ { name: bit_field_1, bit_assignment: { lsb: 8 , width: 8, sequence_size: 4, step: 16 }, type: rw, initial_value: 0 }
74
+ ]
75
+ },
76
+ {
77
+ name: register_7,
78
+ offset_address: 0x40,
79
+ size: [2, 4],
80
+ type: [indirect, register_0.bit_field_0, register_0.bit_field_1, [register_0.bit_field_2, 1]],
81
+ bit_fields: [
82
+ { name: bit_field_0, bit_assignment: { lsb: 0 , width: 8, sequence_size: 4, step: 16 }, type: rw, initial_value: 0 },
83
+ { name: bit_field_1, bit_assignment: { lsb: 8 , width: 8, sequence_size: 4, step: 16 }, type: rw, initial_value: 0 }
84
+ ]
85
+ },
86
+ {
87
+ name: register_8,
88
+ offset_address: 0x80,
89
+ size: 32,
90
+ type: external
91
+ }
92
+ ]
93
+ }
94
+ ]
@@ -0,0 +1,174 @@
1
+ package block_0_ral_pkg;
2
+ import uvm_pkg::*;
3
+ import rggen_ral_pkg::*;
4
+ `include "uvm_macros.svh"
5
+ `include "rggen_ral_macros.svh"
6
+ class register_0_reg_model extends rggen_ral_reg;
7
+ rand rggen_ral_field bit_field_0;
8
+ rand rggen_ral_field bit_field_1;
9
+ rand rggen_ral_field bit_field_2;
10
+ function new(string name);
11
+ super.new(name, 32, 0);
12
+ endfunction
13
+ function void build();
14
+ `rggen_ral_create_field_model(bit_field_0, 0, 4, RW, 0, 4'h0, 1)
15
+ `rggen_ral_create_field_model(bit_field_1, 4, 4, RW, 0, 4'h0, 1)
16
+ `rggen_ral_create_field_model(bit_field_2, 8, 1, RW, 0, 1'h0, 1)
17
+ endfunction
18
+ endclass
19
+ class register_1_reg_model extends rggen_ral_reg;
20
+ rand rggen_ral_field bit_field_0;
21
+ rand rggen_ral_field bit_field_1;
22
+ rand rggen_ral_field bit_field_2;
23
+ rand rggen_ral_field bit_field_3;
24
+ function new(string name);
25
+ super.new(name, 32, 0);
26
+ endfunction
27
+ function void build();
28
+ `rggen_ral_create_field_model(bit_field_0, 0, 4, RO, 1, 4'h0, 0)
29
+ `rggen_ral_create_field_model(bit_field_1, 8, 4, RO, 1, 4'h0, 0)
30
+ `rggen_ral_create_field_model(bit_field_2, 16, 8, RO, 0, 8'hab, 1)
31
+ `rggen_ral_create_field_model(bit_field_3, 24, 8, RO, 0, 8'h00, 0)
32
+ endfunction
33
+ endclass
34
+ class register_2_reg_model extends rggen_ral_reg;
35
+ rand rggen_ral_field bit_field_0;
36
+ rand rggen_ral_field bit_field_1;
37
+ function new(string name);
38
+ super.new(name, 32, 0);
39
+ endfunction
40
+ function void build();
41
+ `rggen_ral_create_field_model(bit_field_0, 0, 4, WO, 0, 4'h0, 1)
42
+ `rggen_ral_create_field_model(bit_field_1, 8, 4, WO, 0, 4'h0, 1)
43
+ endfunction
44
+ endclass
45
+ class register_3_reg_model extends rggen_ral_reg;
46
+ rand rggen_ral_field bit_field_0;
47
+ rand rggen_ral_field bit_field_1;
48
+ rand rggen_ral_field bit_field_2;
49
+ rand rggen_ral_field bit_field_3;
50
+ function new(string name);
51
+ super.new(name, 32, 0);
52
+ endfunction
53
+ function void build();
54
+ `rggen_ral_create_field_model(bit_field_0, 0, 4, RC, 1, 4'h0, 1)
55
+ `rggen_ral_create_field_model(bit_field_1, 8, 4, RC, 1, 4'h0, 1)
56
+ `rggen_ral_create_field_model(bit_field_2, 12, 4, RO, 1, 4'h0, 0)
57
+ `rggen_ral_create_field_model(bit_field_3, 16, 4, RS, 1, 4'h0, 1)
58
+ endfunction
59
+ endclass
60
+ class register_4_reg_model extends rggen_ral_reg;
61
+ rand rggen_ral_rwe_field #("register_0", "bit_field_2") bit_field_0;
62
+ rand rggen_ral_rwl_field #("register_0", "bit_field_2") bit_field_1;
63
+ function new(string name);
64
+ super.new(name, 32, 0);
65
+ endfunction
66
+ function void build();
67
+ `rggen_ral_create_field_model(bit_field_0, 0, 8, RWE, 0, 8'h00, 1)
68
+ `rggen_ral_create_field_model(bit_field_1, 16, 8, RWL, 0, 8'h00, 1)
69
+ endfunction
70
+ endclass
71
+ class register_5_reg_model extends rggen_ral_reg;
72
+ rand rggen_ral_field bit_field_0;
73
+ rand rggen_ral_field bit_field_1;
74
+ rand rggen_ral_field bit_field_2;
75
+ rand rggen_ral_field bit_field_3;
76
+ rand rggen_ral_field bit_field_4;
77
+ rand rggen_ral_field bit_field_5;
78
+ rand rggen_ral_field bit_field_6;
79
+ rand rggen_ral_field bit_field_7;
80
+ function new(string name);
81
+ super.new(name, 32, 0);
82
+ endfunction
83
+ function void build();
84
+ `rggen_ral_create_field_model(bit_field_0, 0, 4, W0C, 1, 4'h0, 1)
85
+ `rggen_ral_create_field_model(bit_field_1, 4, 4, W0C, 1, 4'h0, 1)
86
+ `rggen_ral_create_field_model(bit_field_2, 8, 4, RO, 1, 4'h0, 0)
87
+ `rggen_ral_create_field_model(bit_field_3, 12, 4, W1C, 1, 4'h0, 1)
88
+ `rggen_ral_create_field_model(bit_field_4, 16, 4, W1C, 1, 4'h0, 1)
89
+ `rggen_ral_create_field_model(bit_field_5, 20, 4, RO, 1, 4'h0, 0)
90
+ `rggen_ral_create_field_model(bit_field_6, 24, 4, W0S, 1, 4'h0, 1)
91
+ `rggen_ral_create_field_model(bit_field_7, 28, 4, W1S, 1, 4'h0, 1)
92
+ endfunction
93
+ endclass
94
+ class register_6_reg_model extends rggen_ral_reg;
95
+ rand rggen_ral_field bit_field_0[4];
96
+ rand rggen_ral_field bit_field_1[4];
97
+ function new(string name);
98
+ super.new(name, 64, 0);
99
+ endfunction
100
+ function void build();
101
+ `rggen_ral_create_field_model(bit_field_0[0], 0, 8, RW, 0, 8'h00, 1)
102
+ `rggen_ral_create_field_model(bit_field_0[1], 16, 8, RW, 0, 8'h00, 1)
103
+ `rggen_ral_create_field_model(bit_field_0[2], 32, 8, RW, 0, 8'h00, 1)
104
+ `rggen_ral_create_field_model(bit_field_0[3], 48, 8, RW, 0, 8'h00, 1)
105
+ `rggen_ral_create_field_model(bit_field_1[0], 8, 8, RW, 0, 8'h00, 1)
106
+ `rggen_ral_create_field_model(bit_field_1[1], 24, 8, RW, 0, 8'h00, 1)
107
+ `rggen_ral_create_field_model(bit_field_1[2], 40, 8, RW, 0, 8'h00, 1)
108
+ `rggen_ral_create_field_model(bit_field_1[3], 56, 8, RW, 0, 8'h00, 1)
109
+ endfunction
110
+ endclass
111
+ class register_7_reg_model extends rggen_ral_indirect_reg;
112
+ rand rggen_ral_field bit_field_0[4];
113
+ rand rggen_ral_field bit_field_1[4];
114
+ function new(string name);
115
+ super.new(name, 64, 0);
116
+ endfunction
117
+ function void build();
118
+ `rggen_ral_create_field_model(bit_field_0[0], 0, 8, RW, 0, 8'h00, 1)
119
+ `rggen_ral_create_field_model(bit_field_0[1], 16, 8, RW, 0, 8'h00, 1)
120
+ `rggen_ral_create_field_model(bit_field_0[2], 32, 8, RW, 0, 8'h00, 1)
121
+ `rggen_ral_create_field_model(bit_field_0[3], 48, 8, RW, 0, 8'h00, 1)
122
+ `rggen_ral_create_field_model(bit_field_1[0], 8, 8, RW, 0, 8'h00, 1)
123
+ `rggen_ral_create_field_model(bit_field_1[1], 24, 8, RW, 0, 8'h00, 1)
124
+ `rggen_ral_create_field_model(bit_field_1[2], 40, 8, RW, 0, 8'h00, 1)
125
+ `rggen_ral_create_field_model(bit_field_1[3], 56, 8, RW, 0, 8'h00, 1)
126
+ endfunction
127
+ function void setup_index_fields();
128
+ setup_index_field("register_0", "bit_field_0", array_index[0]);
129
+ setup_index_field("register_0", "bit_field_1", array_index[1]);
130
+ setup_index_field("register_0", "bit_field_2", 1'h1);
131
+ endfunction
132
+ endclass
133
+ class block_0_block_model #(
134
+ type REGISTER_8 = rggen_ral_block,
135
+ bit INTEGRATE_REGISTER_8 = 1
136
+ ) extends rggen_ral_block;
137
+ rand register_0_reg_model register_0;
138
+ rand register_1_reg_model register_1;
139
+ rand register_2_reg_model register_2;
140
+ rand register_3_reg_model register_3;
141
+ rand register_4_reg_model register_4;
142
+ rand register_5_reg_model register_5;
143
+ rand register_6_reg_model register_6[4];
144
+ rand register_7_reg_model register_7[2][4];
145
+ rand REGISTER_8 register_8;
146
+ function new(string name);
147
+ super.new(name);
148
+ endfunction
149
+ function void build();
150
+ `rggen_ral_create_reg_model(register_0, '{}, 8'h00, RW, 0, g_register_0.u_register)
151
+ `rggen_ral_create_reg_model(register_1, '{}, 8'h04, RO, 0, g_register_1.u_register)
152
+ `rggen_ral_create_reg_model(register_2, '{}, 8'h04, WO, 0, g_register_2.u_register)
153
+ `rggen_ral_create_reg_model(register_3, '{}, 8'h08, RO, 0, g_register_3.u_register)
154
+ `rggen_ral_create_reg_model(register_4, '{}, 8'h0c, RW, 0, g_register_4.u_register)
155
+ `rggen_ral_create_reg_model(register_5, '{}, 8'h10, RW, 0, g_register_5.u_register)
156
+ `rggen_ral_create_reg_model(register_6[0], '{0}, 8'h20, RW, 0, g_register_6.g[0].u_register)
157
+ `rggen_ral_create_reg_model(register_6[1], '{1}, 8'h28, RW, 0, g_register_6.g[1].u_register)
158
+ `rggen_ral_create_reg_model(register_6[2], '{2}, 8'h30, RW, 0, g_register_6.g[2].u_register)
159
+ `rggen_ral_create_reg_model(register_6[3], '{3}, 8'h38, RW, 0, g_register_6.g[3].u_register)
160
+ `rggen_ral_create_reg_model(register_7[0][0], '{0, 0}, 8'h40, RW, 1, g_register_7.g[0].g[0].u_register)
161
+ `rggen_ral_create_reg_model(register_7[0][1], '{0, 1}, 8'h40, RW, 1, g_register_7.g[0].g[1].u_register)
162
+ `rggen_ral_create_reg_model(register_7[0][2], '{0, 2}, 8'h40, RW, 1, g_register_7.g[0].g[2].u_register)
163
+ `rggen_ral_create_reg_model(register_7[0][3], '{0, 3}, 8'h40, RW, 1, g_register_7.g[0].g[3].u_register)
164
+ `rggen_ral_create_reg_model(register_7[1][0], '{1, 0}, 8'h40, RW, 1, g_register_7.g[1].g[0].u_register)
165
+ `rggen_ral_create_reg_model(register_7[1][1], '{1, 1}, 8'h40, RW, 1, g_register_7.g[1].g[1].u_register)
166
+ `rggen_ral_create_reg_model(register_7[1][2], '{1, 2}, 8'h40, RW, 1, g_register_7.g[1].g[2].u_register)
167
+ `rggen_ral_create_reg_model(register_7[1][3], '{1, 3}, 8'h40, RW, 1, g_register_7.g[1].g[3].u_register)
168
+ `rggen_ral_create_block_model(register_8, 8'h80, this, INTEGRATE_REGISTER_8)
169
+ endfunction
170
+ function uvm_reg_map create_default_map();
171
+ return create_map("default_map", 0, 4, UVM_LITTLE_ENDIAN, 1);
172
+ endfunction
173
+ endclass
174
+ endpackage
data/sample/block_1.rb ADDED
@@ -0,0 +1,22 @@
1
+ # frozen_string_literal: true
2
+
3
+ register_block {
4
+ name 'block_1'
5
+ byte_size 128
6
+
7
+ register {
8
+ name 'register_0'
9
+ offset_address 0x00
10
+ size [2, 4]
11
+ bit_field { name 'bit_field_0'; bit_assignment lsb: 0, width: 8, sequence_size: 4, step: 16; type :rw; initial_value 0 }
12
+ bit_field { name 'bit_field_1'; bit_assignment lsb: 8, width: 8, sequence_size: 4, step: 16; type :ro; reference 'register_1.bit_field_1' }
13
+ }
14
+
15
+ register {
16
+ name 'register_1'
17
+ offset_address 0x40
18
+ size [2, 4]
19
+ bit_field { name 'bit_field_0'; bit_assignment lsb: 0, width: 8, sequence_size: 4, step: 16; type :ro; reference 'register_0.bit_field_0' }
20
+ bit_field { name 'bit_field_1'; bit_assignment lsb: 8, width: 8, sequence_size: 4, step: 16; type :rw; initial_value 0 }
21
+ }
22
+ }
data/sample/block_1.sv ADDED
@@ -0,0 +1,136 @@
1
+ `ifndef rggen_connect_bit_field_if
2
+ `define rggen_connect_bit_field_if(RIF, FIF, LSB, WIDTH) \
3
+ assign FIF.valid = RIF.valid; \
4
+ assign FIF.read_mask = RIF.read_mask[LSB+:WIDTH]; \
5
+ assign FIF.write_mask = RIF.write_mask[LSB+:WIDTH]; \
6
+ assign FIF.write_data = RIF.write_data[LSB+:WIDTH]; \
7
+ assign RIF.read_data[LSB+:WIDTH] = FIF.read_data; \
8
+ assign RIF.value[LSB+:WIDTH] = FIF.value;
9
+ `endif
10
+ module block_1
11
+ import rggen_rtl_pkg::*;
12
+ (
13
+ input logic i_clk,
14
+ input logic i_rst_n,
15
+ rggen_apb_if.slave apb_if,
16
+ output logic [1:0][3:0][3:0][7:0] o_register_0_bit_field_0,
17
+ output logic [1:0][3:0][3:0][7:0] o_register_1_bit_field_1
18
+ );
19
+ rggen_register_if #(7, 32, 64) register_if[16]();
20
+ rggen_apb_adapter #(
21
+ .ADDRESS_WIDTH (7),
22
+ .BUS_WIDTH (32),
23
+ .REGISTERS (16)
24
+ ) u_adapter (
25
+ .i_clk (i_clk),
26
+ .i_rst_n (i_rst_n),
27
+ .apb_if (apb_if),
28
+ .register_if (register_if)
29
+ );
30
+ generate if (1) begin : g_register_0
31
+ genvar i;
32
+ genvar j;
33
+ for (i = 0;i < 2;++i) begin : g
34
+ for (j = 0;j < 4;++j) begin : g
35
+ rggen_bit_field_if #(64) bit_field_if();
36
+ rggen_default_register #(
37
+ .READABLE (1),
38
+ .WRITABLE (1),
39
+ .ADDRESS_WIDTH (7),
40
+ .OFFSET_ADDRESS (7'h00),
41
+ .BUS_WIDTH (32),
42
+ .DATA_WIDTH (64),
43
+ .VALID_BITS (64'hffffffffffffffff),
44
+ .REGISTER_INDEX (4*i+j)
45
+ ) u_register (
46
+ .i_clk (i_clk),
47
+ .i_rst_n (i_rst_n),
48
+ .register_if (register_if[0+4*i+j]),
49
+ .bit_field_if (bit_field_if)
50
+ );
51
+ if (1) begin : g_bit_field_0
52
+ genvar k;
53
+ for (k = 0;k < 4;++k) begin : g
54
+ rggen_bit_field_if #(8) bit_field_sub_if();
55
+ `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 0+16*k, 8)
56
+ rggen_bit_field_rw #(
57
+ .WIDTH (8),
58
+ .INITIAL_VALUE (8'h00)
59
+ ) u_bit_field (
60
+ .i_clk (i_clk),
61
+ .i_rst_n (i_rst_n),
62
+ .bit_field_if (bit_field_sub_if),
63
+ .o_value (o_register_0_bit_field_0[i][j][k])
64
+ );
65
+ end
66
+ end
67
+ if (1) begin : g_bit_field_1
68
+ genvar k;
69
+ for (k = 0;k < 4;++k) begin : g
70
+ rggen_bit_field_if #(8) bit_field_sub_if();
71
+ `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 8+16*k, 8)
72
+ rggen_bit_field_ro #(
73
+ .WIDTH (8)
74
+ ) u_bit_field (
75
+ .bit_field_if (bit_field_sub_if),
76
+ .i_value (register_if[8+4*i+j].value[8+16*k+:8])
77
+ );
78
+ end
79
+ end
80
+ end
81
+ end
82
+ end endgenerate
83
+ generate if (1) begin : g_register_1
84
+ genvar i;
85
+ genvar j;
86
+ for (i = 0;i < 2;++i) begin : g
87
+ for (j = 0;j < 4;++j) begin : g
88
+ rggen_bit_field_if #(64) bit_field_if();
89
+ rggen_default_register #(
90
+ .READABLE (1),
91
+ .WRITABLE (1),
92
+ .ADDRESS_WIDTH (7),
93
+ .OFFSET_ADDRESS (7'h40),
94
+ .BUS_WIDTH (32),
95
+ .DATA_WIDTH (64),
96
+ .VALID_BITS (64'hffffffffffffffff),
97
+ .REGISTER_INDEX (4*i+j)
98
+ ) u_register (
99
+ .i_clk (i_clk),
100
+ .i_rst_n (i_rst_n),
101
+ .register_if (register_if[8+4*i+j]),
102
+ .bit_field_if (bit_field_if)
103
+ );
104
+ if (1) begin : g_bit_field_0
105
+ genvar k;
106
+ for (k = 0;k < 4;++k) begin : g
107
+ rggen_bit_field_if #(8) bit_field_sub_if();
108
+ `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 0+16*k, 8)
109
+ rggen_bit_field_ro #(
110
+ .WIDTH (8)
111
+ ) u_bit_field (
112
+ .bit_field_if (bit_field_sub_if),
113
+ .i_value (register_if[0+4*i+j].value[0+16*k+:8])
114
+ );
115
+ end
116
+ end
117
+ if (1) begin : g_bit_field_1
118
+ genvar k;
119
+ for (k = 0;k < 4;++k) begin : g
120
+ rggen_bit_field_if #(8) bit_field_sub_if();
121
+ `rggen_connect_bit_field_if(bit_field_if, bit_field_sub_if, 8+16*k, 8)
122
+ rggen_bit_field_rw #(
123
+ .WIDTH (8),
124
+ .INITIAL_VALUE (8'h00)
125
+ ) u_bit_field (
126
+ .i_clk (i_clk),
127
+ .i_rst_n (i_rst_n),
128
+ .bit_field_if (bit_field_sub_if),
129
+ .o_value (o_register_1_bit_field_1[i][j][k])
130
+ );
131
+ end
132
+ end
133
+ end
134
+ end
135
+ end endgenerate
136
+ endmodule
Binary file
@@ -0,0 +1,26 @@
1
+ register_blocks: [
2
+ {
3
+ name: block_1,
4
+ byte_size: 128,
5
+ registers: [
6
+ {
7
+ name: 'register_0',
8
+ offset_address: 0x00,
9
+ size: [2, 4],
10
+ bit_fields: [
11
+ { name: bit_field_0, bit_assignment: { lsb: 0, width: 8, sequence_size: 4, step: 16 }, type: rw, initial_value: 0x00 },
12
+ { name: bit_field_1, bit_assignment: { lsb: 8, width: 8, sequence_size: 4, step: 16 }, type: ro, reference: register_1.bit_field_1 }
13
+ ]
14
+ },
15
+ {
16
+ name: 'register_1',
17
+ offset_address: 0x40,
18
+ size: [2, 4],
19
+ bit_fields: [
20
+ { name: bit_field_0, bit_assignment: { lsb: 0, width: 8, sequence_size: 4, step: 16 }, type: ro, reference: register_0.bit_field_0 },
21
+ { name: bit_field_1, bit_assignment: { lsb: 8, width: 8, sequence_size: 4, step: 16 }, type: rw, initial_value: 0x00 }
22
+ ]
23
+ }
24
+ ]
25
+ }
26
+ ]