rggen 0.8.2 → 0.9.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (269) hide show
  1. checksums.yaml +4 -4
  2. data/CODE_OF_CONDUCT.md +54 -29
  3. data/{LICENSE.txt → LICENSE} +1 -1
  4. data/README.md +65 -56
  5. data/lib/rggen.rb +4 -63
  6. data/lib/rggen/built_in.rb +53 -0
  7. data/lib/rggen/built_in/bit_field/bit_assignment.rb +108 -0
  8. data/lib/rggen/built_in/bit_field/comment.rb +16 -0
  9. data/lib/rggen/built_in/bit_field/initial_value.rb +45 -0
  10. data/lib/rggen/built_in/bit_field/name.rb +39 -0
  11. data/lib/rggen/built_in/bit_field/reference.rb +100 -0
  12. data/lib/rggen/built_in/bit_field/sv_rtl_top.rb +87 -0
  13. data/lib/rggen/built_in/bit_field/type.rb +279 -0
  14. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb +15 -0
  15. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb +68 -0
  16. data/lib/rggen/built_in/bit_field/type/reserved.erb +3 -0
  17. data/lib/rggen/built_in/bit_field/type/reserved.rb +16 -0
  18. data/lib/rggen/built_in/bit_field/type/ro.erb +6 -0
  19. data/lib/rggen/built_in/bit_field/type/ro.rb +34 -0
  20. data/lib/rggen/built_in/bit_field/type/rof.erb +6 -0
  21. data/lib/rggen/built_in/bit_field/type/rof.rb +17 -0
  22. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb +13 -0
  23. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb +52 -0
  24. data/lib/rggen/built_in/bit_field/type/rw_wo.erb +9 -0
  25. data/lib/rggen/built_in/bit_field/type/rw_wo.rb +33 -0
  26. data/lib/rggen/built_in/bit_field/type/rwe_rwl.erb +14 -0
  27. data/lib/rggen/built_in/bit_field/type/rwe_rwl.rb +39 -0
  28. data/lib/rggen/built_in/global/address_width.rb +32 -0
  29. data/lib/rggen/built_in/global/array_port_format.rb +19 -0
  30. data/lib/rggen/built_in/global/bus_width.rb +33 -0
  31. data/lib/rggen/built_in/global/fold_sv_interface_port.rb +24 -0
  32. data/lib/rggen/built_in/register/name.rb +34 -0
  33. data/lib/rggen/built_in/register/offset_address.rb +96 -0
  34. data/lib/rggen/built_in/register/size.rb +49 -0
  35. data/lib/rggen/built_in/register/sv_rtl_top.rb +82 -0
  36. data/lib/rggen/built_in/register/type.rb +374 -0
  37. data/lib/rggen/built_in/register/type/default_sv_ral.erb +8 -0
  38. data/lib/rggen/built_in/register/type/default_sv_rtl.erb +15 -0
  39. data/lib/rggen/built_in/register/type/external.erb +11 -0
  40. data/lib/rggen/built_in/register/type/external.rb +141 -0
  41. data/lib/rggen/built_in/register/type/indirect.rb +329 -0
  42. data/lib/rggen/built_in/register/type/indirect_sv_ral.erb +13 -0
  43. data/lib/rggen/built_in/register/type/indirect_sv_rtl.erb +17 -0
  44. data/lib/rggen/built_in/register_block/byte_size.rb +59 -0
  45. data/lib/rggen/built_in/register_block/name.rb +36 -0
  46. data/lib/rggen/built_in/register_block/protocol.rb +71 -0
  47. data/lib/rggen/built_in/register_block/protocol/apb.erb +10 -0
  48. data/lib/rggen/built_in/register_block/protocol/apb.rb +113 -0
  49. data/lib/rggen/built_in/register_block/protocol/axi4lite.erb +11 -0
  50. data/lib/rggen/built_in/register_block/protocol/axi4lite.rb +167 -0
  51. data/lib/rggen/built_in/register_block/sv_ral_block_model.erb +11 -0
  52. data/lib/rggen/built_in/register_block/sv_ral_package.rb +58 -0
  53. data/lib/rggen/built_in/register_block/sv_rtl_macros.erb +9 -0
  54. data/lib/rggen/built_in/register_block/sv_rtl_top.rb +87 -0
  55. data/lib/rggen/built_in/version.rb +7 -0
  56. data/lib/rggen/default_setup_file.rb +6 -0
  57. data/lib/rggen/setup/default.rb +26 -0
  58. data/lib/rggen/version.rb +5 -4
  59. data/sample/block_0.rb +85 -0
  60. data/sample/block_0.sv +601 -0
  61. data/sample/block_0.xlsx +0 -0
  62. data/sample/block_0.yml +94 -0
  63. data/sample/block_0_ral_pkg.sv +174 -0
  64. data/sample/block_1.rb +22 -0
  65. data/sample/block_1.sv +136 -0
  66. data/sample/block_1.xlsx +0 -0
  67. data/sample/block_1.yml +26 -0
  68. data/sample/block_1_ral_pkg.sv +68 -0
  69. data/sample/config.json +5 -0
  70. data/sample/config.yml +3 -0
  71. metadata +96 -270
  72. data/bin/rggen +0 -6
  73. data/c_header/LICENSE +0 -21
  74. data/c_header/rggen.h +0 -17
  75. data/lib/rggen/base/component.rb +0 -31
  76. data/lib/rggen/base/component_factory.rb +0 -53
  77. data/lib/rggen/base/hierarchical_accessors.rb +0 -87
  78. data/lib/rggen/base/hierarchical_item_accessors.rb +0 -79
  79. data/lib/rggen/base/internal_struct.rb +0 -28
  80. data/lib/rggen/base/item.rb +0 -35
  81. data/lib/rggen/base/item_factory.rb +0 -25
  82. data/lib/rggen/builder/builder.rb +0 -69
  83. data/lib/rggen/builder/category.rb +0 -63
  84. data/lib/rggen/builder/component_entry.rb +0 -50
  85. data/lib/rggen/builder/component_store.rb +0 -42
  86. data/lib/rggen/builder/input_component_store.rb +0 -25
  87. data/lib/rggen/builder/item_store.rb +0 -89
  88. data/lib/rggen/builder/list_item_entry.rb +0 -81
  89. data/lib/rggen/builder/output_component_store.rb +0 -13
  90. data/lib/rggen/builder/simple_item_entry.rb +0 -33
  91. data/lib/rggen/builtins.rb +0 -55
  92. data/lib/rggen/builtins/bit_field/bit_assignment.rb +0 -39
  93. data/lib/rggen/builtins/bit_field/field_model.rb +0 -38
  94. data/lib/rggen/builtins/bit_field/initial_value.rb +0 -36
  95. data/lib/rggen/builtins/bit_field/name.rb +0 -26
  96. data/lib/rggen/builtins/bit_field/reference.rb +0 -40
  97. data/lib/rggen/builtins/bit_field/rtl_top.rb +0 -11
  98. data/lib/rggen/builtins/bit_field/type.rb +0 -244
  99. data/lib/rggen/builtins/bit_field/types/reserved.erb +0 -6
  100. data/lib/rggen/builtins/bit_field/types/reserved.rb +0 -18
  101. data/lib/rggen/builtins/bit_field/types/ro.erb +0 -6
  102. data/lib/rggen/builtins/bit_field/types/ro.rb +0 -22
  103. data/lib/rggen/builtins/bit_field/types/rw.erb +0 -9
  104. data/lib/rggen/builtins/bit_field/types/rw.rb +0 -23
  105. data/lib/rggen/builtins/bit_field/types/rwl_rwe.erb +0 -11
  106. data/lib/rggen/builtins/bit_field/types/rwl_rwe.rb +0 -54
  107. data/lib/rggen/builtins/bit_field/types/w0c_w1c.erb +0 -12
  108. data/lib/rggen/builtins/bit_field/types/w0c_w1c.rb +0 -33
  109. data/lib/rggen/builtins/bit_field/types/w0s_w1s.erb +0 -12
  110. data/lib/rggen/builtins/bit_field/types/w0s_w1s.rb +0 -33
  111. data/lib/rggen/builtins/bit_field/types/wo.rb +0 -5
  112. data/lib/rggen/builtins/global/address_width.rb +0 -17
  113. data/lib/rggen/builtins/global/array_port_format.rb +0 -15
  114. data/lib/rggen/builtins/global/data_width.rb +0 -20
  115. data/lib/rggen/builtins/global/unfold_sv_interface_port.rb +0 -22
  116. data/lib/rggen/builtins/loaders/configuration/json_loader.rb +0 -7
  117. data/lib/rggen/builtins/loaders/configuration/yaml_loader.rb +0 -7
  118. data/lib/rggen/builtins/loaders/register_map/csv_loader.rb +0 -12
  119. data/lib/rggen/builtins/loaders/register_map/xls_loader.rb +0 -17
  120. data/lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb +0 -21
  121. data/lib/rggen/builtins/register/array.rb +0 -30
  122. data/lib/rggen/builtins/register/constructor.rb +0 -17
  123. data/lib/rggen/builtins/register/field_model_creator.rb +0 -14
  124. data/lib/rggen/builtins/register/indirect_index_configurator.rb +0 -54
  125. data/lib/rggen/builtins/register/name.rb +0 -26
  126. data/lib/rggen/builtins/register/offset_address.rb +0 -61
  127. data/lib/rggen/builtins/register/reg_model.rb +0 -107
  128. data/lib/rggen/builtins/register/rtl_top.rb +0 -68
  129. data/lib/rggen/builtins/register/sub_block_model.rb +0 -34
  130. data/lib/rggen/builtins/register/type.rb +0 -283
  131. data/lib/rggen/builtins/register/types/default.erb +0 -10
  132. data/lib/rggen/builtins/register/types/external.erb +0 -11
  133. data/lib/rggen/builtins/register/types/external.rb +0 -77
  134. data/lib/rggen/builtins/register/types/indirect.erb +0 -13
  135. data/lib/rggen/builtins/register/types/indirect.rb +0 -175
  136. data/lib/rggen/builtins/register/uniqueness_validator.rb +0 -51
  137. data/lib/rggen/builtins/register_block/address_struct.rb +0 -56
  138. data/lib/rggen/builtins/register_block/base_address.rb +0 -64
  139. data/lib/rggen/builtins/register_block/block_model.rb +0 -20
  140. data/lib/rggen/builtins/register_block/byte_size.rb +0 -37
  141. data/lib/rggen/builtins/register_block/c_header_file.rb +0 -15
  142. data/lib/rggen/builtins/register_block/clock_reset.rb +0 -8
  143. data/lib/rggen/builtins/register_block/constructor.rb +0 -14
  144. data/lib/rggen/builtins/register_block/default_map_creator.rb +0 -39
  145. data/lib/rggen/builtins/register_block/host_if.rb +0 -64
  146. data/lib/rggen/builtins/register_block/host_ifs/apb.erb +0 -10
  147. data/lib/rggen/builtins/register_block/host_ifs/apb.rb +0 -64
  148. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.erb +0 -11
  149. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.rb +0 -93
  150. data/lib/rggen/builtins/register_block/name.rb +0 -26
  151. data/lib/rggen/builtins/register_block/ral_package.rb +0 -24
  152. data/lib/rggen/builtins/register_block/rtl_top.rb +0 -38
  153. data/lib/rggen/builtins/register_block/sub_model_creator.rb +0 -14
  154. data/lib/rggen/commands.rb +0 -23
  155. data/lib/rggen/core_components.rb +0 -54
  156. data/lib/rggen/core_components/c_header/item.rb +0 -8
  157. data/lib/rggen/core_components/c_header/setup.rb +0 -19
  158. data/lib/rggen/core_components/c_utility.rb +0 -19
  159. data/lib/rggen/core_components/c_utility/data_structure_definition.rb +0 -60
  160. data/lib/rggen/core_components/c_utility/source_file.rb +0 -10
  161. data/lib/rggen/core_components/c_utility/variable_declaration.rb +0 -35
  162. data/lib/rggen/core_components/code_utility.rb +0 -56
  163. data/lib/rggen/core_components/code_utility/code_block.rb +0 -72
  164. data/lib/rggen/core_components/code_utility/line.rb +0 -28
  165. data/lib/rggen/core_components/code_utility/source_file.rb +0 -97
  166. data/lib/rggen/core_components/configuration/configuration_factory.rb +0 -23
  167. data/lib/rggen/core_components/configuration/item.rb +0 -11
  168. data/lib/rggen/core_components/configuration/item_factory.rb +0 -20
  169. data/lib/rggen/core_components/configuration/raise_error.rb +0 -11
  170. data/lib/rggen/core_components/configuration/setup.rb +0 -14
  171. data/lib/rggen/core_components/erb_engine.rb +0 -15
  172. data/lib/rggen/core_components/ral/component.rb +0 -24
  173. data/lib/rggen/core_components/ral/item.rb +0 -59
  174. data/lib/rggen/core_components/ral/setup.rb +0 -19
  175. data/lib/rggen/core_components/register_map/bit_field_factory.rb +0 -11
  176. data/lib/rggen/core_components/register_map/component.rb +0 -15
  177. data/lib/rggen/core_components/register_map/component_factory.rb +0 -9
  178. data/lib/rggen/core_components/register_map/generic_map.rb +0 -80
  179. data/lib/rggen/core_components/register_map/item.rb +0 -26
  180. data/lib/rggen/core_components/register_map/item_factory.rb +0 -26
  181. data/lib/rggen/core_components/register_map/loader.rb +0 -11
  182. data/lib/rggen/core_components/register_map/raise_error.rb +0 -17
  183. data/lib/rggen/core_components/register_map/register_block_factory.rb +0 -29
  184. data/lib/rggen/core_components/register_map/register_factory.rb +0 -18
  185. data/lib/rggen/core_components/register_map/register_map_factory.rb +0 -18
  186. data/lib/rggen/core_components/register_map/setup.rb +0 -33
  187. data/lib/rggen/core_components/rtl/component.rb +0 -24
  188. data/lib/rggen/core_components/rtl/item.rb +0 -82
  189. data/lib/rggen/core_components/rtl/setup.rb +0 -19
  190. data/lib/rggen/core_components/verilog_utility.rb +0 -88
  191. data/lib/rggen/core_components/verilog_utility/class_definition.rb +0 -56
  192. data/lib/rggen/core_components/verilog_utility/identifier.rb +0 -78
  193. data/lib/rggen/core_components/verilog_utility/interface_instance.rb +0 -37
  194. data/lib/rggen/core_components/verilog_utility/interface_port.rb +0 -33
  195. data/lib/rggen/core_components/verilog_utility/local_scope.rb +0 -75
  196. data/lib/rggen/core_components/verilog_utility/module_definition.rb +0 -82
  197. data/lib/rggen/core_components/verilog_utility/package_definition.rb +0 -57
  198. data/lib/rggen/core_components/verilog_utility/source_file.rb +0 -10
  199. data/lib/rggen/core_components/verilog_utility/structure_definition.rb +0 -51
  200. data/lib/rggen/core_components/verilog_utility/subroutine_definition.rb +0 -41
  201. data/lib/rggen/core_components/verilog_utility/variable.rb +0 -115
  202. data/lib/rggen/core_extensions/array.rb +0 -9
  203. data/lib/rggen/core_extensions/facets.rb +0 -22
  204. data/lib/rggen/core_extensions/forwardable.rb +0 -49
  205. data/lib/rggen/core_extensions/integer.rb +0 -5
  206. data/lib/rggen/core_extensions/math.rb +0 -7
  207. data/lib/rggen/core_extensions/roo.rb +0 -17
  208. data/lib/rggen/exceptions.rb +0 -28
  209. data/lib/rggen/generator.rb +0 -67
  210. data/lib/rggen/input_base/component.rb +0 -28
  211. data/lib/rggen/input_base/component_factory.rb +0 -58
  212. data/lib/rggen/input_base/item.rb +0 -171
  213. data/lib/rggen/input_base/item_factory.rb +0 -13
  214. data/lib/rggen/input_base/loader.rb +0 -23
  215. data/lib/rggen/input_base/regexp_patterns.rb +0 -29
  216. data/lib/rggen/option_switches.rb +0 -60
  217. data/lib/rggen/options.rb +0 -97
  218. data/lib/rggen/output_base/code_generator.rb +0 -36
  219. data/lib/rggen/output_base/component.rb +0 -78
  220. data/lib/rggen/output_base/component_factory.rb +0 -32
  221. data/lib/rggen/output_base/file_writer.rb +0 -36
  222. data/lib/rggen/output_base/item.rb +0 -110
  223. data/lib/rggen/output_base/item_factory.rb +0 -9
  224. data/lib/rggen/output_base/template_engine.rb +0 -24
  225. data/lib/rggen/rggen_home.rb +0 -3
  226. data/ral/LICENSE +0 -21
  227. data/ral/compile.f +0 -2
  228. data/ral/rggen_ral_block.svh +0 -83
  229. data/ral/rggen_ral_field.svh +0 -47
  230. data/ral/rggen_ral_field_rwl_rwe.svh +0 -158
  231. data/ral/rggen_ral_indirect_reg.svh +0 -193
  232. data/ral/rggen_ral_macros.svh +0 -27
  233. data/ral/rggen_ral_map.svh +0 -124
  234. data/ral/rggen_ral_pkg.sv +0 -15
  235. data/ral/rggen_ral_reg.svh +0 -88
  236. data/rtl/LICENSE +0 -21
  237. data/rtl/compile.f +0 -18
  238. data/rtl/rggen_address_decoder.sv +0 -23
  239. data/rtl/rggen_apb_if.sv +0 -41
  240. data/rtl/rggen_axi4lite_if.sv +0 -68
  241. data/rtl/rggen_bit_field_if.sv +0 -28
  242. data/rtl/rggen_bit_field_ro.sv +0 -9
  243. data/rtl/rggen_bit_field_rw.sv +0 -25
  244. data/rtl/rggen_bit_field_rwl_rwe.sv +0 -29
  245. data/rtl/rggen_bit_field_w01s_w01c.sv +0 -55
  246. data/rtl/rggen_bus_if.sv +0 -43
  247. data/rtl/rggen_bus_splitter.sv +0 -86
  248. data/rtl/rggen_default_register.sv +0 -15
  249. data/rtl/rggen_external_register.sv +0 -83
  250. data/rtl/rggen_host_if_apb.sv +0 -29
  251. data/rtl/rggen_host_if_axi4lite.sv +0 -161
  252. data/rtl/rggen_indirect_register.sv +0 -21
  253. data/rtl/rggen_register_base.sv +0 -57
  254. data/rtl/rggen_register_if.sv +0 -42
  255. data/rtl/rggen_rtl_pkg.sv +0 -23
  256. data/sample/LICENSE +0 -21
  257. data/sample/sample.csv +0 -21
  258. data/sample/sample.json +0 -6
  259. data/sample/sample.xls +0 -0
  260. data/sample/sample.xlsx +0 -0
  261. data/sample/sample.yaml +0 -4
  262. data/sample/sample_0.h +0 -17
  263. data/sample/sample_0.sv +0 -402
  264. data/sample/sample_0_ral_pkg.sv +0 -145
  265. data/sample/sample_1.h +0 -9
  266. data/sample/sample_1.sv +0 -128
  267. data/sample/sample_1_ral_pkg.sv +0 -56
  268. data/sample/sample_setup.rb +0 -24
  269. data/setup/default.rb +0 -14
@@ -1,27 +0,0 @@
1
- `ifndef __RGGEN_RAL_MACROS_SVH__
2
- `define __RGGEN_RAL_MACROS_SVH__
3
-
4
- `define rggen_ral_create_field_model(handle, name, width, lsb, access, volatile, reset, has_reset, hdl_path) \
5
- begin \
6
- handle = new(name); \
7
- handle.configure(this.cfg, this, width, lsb, access, volatile, reset, has_reset, 1, 1); \
8
- this.add_field_hdl_path(hdl_path, lsb, width); \
9
- end
10
-
11
- `define rggen_ral_create_reg_model(handle, name, array_index, offset_address, rights, unmapped, hdl_path) \
12
- begin \
13
- handle = new(name); \
14
- handle.configure(this.cfg, this, null, array_index, hdl_path); \
15
- handle.build(); \
16
- default_map.add_reg(handle, offset_address, rights, unmapped); \
17
- end
18
-
19
- `define rggen_ral_create_block_model(handle, name, offset_address) \
20
- begin \
21
- handle = new(name); \
22
- handle.configure(this.cfg, this, ""); \
23
- handle.build(); \
24
- default_map.add_submap(handle.default_map, offset_address); \
25
- end
26
-
27
- `endif
@@ -1,124 +0,0 @@
1
- `ifndef __RGGEN_RAL_MAP_SVH__
2
- `define __RGGEN_RAL_MAP_SVH__
3
- class rggen_ral_map extends uvm_reg_map;
4
- protected rggen_ral_indirect_reg m_indirect_regs_by_offset[uvm_reg_addr_t][$];
5
-
6
- extern function new(string name = "rggen_ral_map");
7
-
8
- extern virtual function void add_reg(
9
- uvm_reg rg,
10
- uvm_reg_addr_t offset,
11
- string rights = "RW",
12
- bit unmapped = 0,
13
- uvm_reg_frontdoor frontdoor = null
14
- );
15
- extern virtual function void set_base_addr(uvm_reg_addr_t offset);
16
- extern virtual function void set_submap_offset(uvm_reg_map submap, uvm_reg_addr_t offset);
17
-
18
- extern virtual function uvm_reg get_reg_by_offset(uvm_reg_addr_t offset, bit read = 1);
19
-
20
- extern function void Xinit_indirect_reg_address_mapX();
21
-
22
- `uvm_object_utils(rggen_ral_map)
23
- endclass
24
-
25
- function rggen_ral_map::new(string name);
26
- super.new(name);
27
- endfunction
28
-
29
- function void rggen_ral_map::add_reg(
30
- uvm_reg rg,
31
- uvm_reg_addr_t offset,
32
- string rights,
33
- bit unmapped,
34
- uvm_reg_frontdoor frontdoor
35
- );
36
- rggen_ral_reg rggen_reg;
37
- rggen_ral_indirect_reg rggen_indirect_reg;
38
-
39
- if ((frontdoor == null) && $cast(rggen_reg, rg)) begin
40
- frontdoor = rggen_reg.create_frontdoor();
41
- end
42
- if ($cast(rggen_indirect_reg, rg)) begin
43
- unmapped = 1;
44
- end
45
- super.add_reg(rg, offset, rights, unmapped, frontdoor);
46
- endfunction
47
-
48
- function void rggen_ral_map::set_base_addr(uvm_reg_addr_t offset);
49
- uvm_reg_block parent_block = get_parent();
50
- uvm_reg_map parent_map = get_parent_map();
51
- bit locked = parent_block.is_locked();
52
- super.set_base_addr(offset);
53
- if ((parent_map == null) && locked) begin
54
- Xinit_indirect_reg_address_mapX();
55
- end
56
- endfunction
57
-
58
- function void rggen_ral_map::set_submap_offset(uvm_reg_map submap, uvm_reg_addr_t offset);
59
- uvm_reg_block parent_block = get_parent();
60
- bit locked = parent_block.is_locked();
61
- super.set_submap_offset(submap, offset);
62
- if ((submap != null) && locked) begin
63
- uvm_reg_map root_map = get_root_map();
64
- rggen_ral_map rggen_map;
65
- if ($cast(rggen_map, root_map)) begin
66
- rggen_map.Xinit_indirect_reg_address_mapX();
67
- end
68
- end
69
- endfunction
70
-
71
- function uvm_reg rggen_ral_map::get_reg_by_offset(uvm_reg_addr_t offset, bit read);
72
- uvm_reg rg = super.get_reg_by_offset(offset, read);
73
- uvm_reg_block parent = get_parent();
74
- if ((rg == null) && parent.is_locked() && m_indirect_regs_by_offset.exists(offset)) begin
75
- foreach (m_indirect_regs_by_offset[offset][i]) begin
76
- if (m_indirect_regs_by_offset[offset][i].is_active()) begin
77
- rg = m_indirect_regs_by_offset[offset][i];
78
- break;
79
- end
80
- end
81
- end
82
- return rg;
83
- endfunction
84
-
85
- function void rggen_ral_map::Xinit_indirect_reg_address_mapX();
86
- uvm_reg_map top_map;
87
- rggen_ral_map top_rggen_map;
88
- uvm_reg_map submaps[$];
89
- uvm_reg regs[$];
90
-
91
- top_map = get_root_map();
92
- if (top_map == this) begin
93
- m_indirect_regs_by_offset.delete();
94
- end
95
- if (!$cast(top_rggen_map, top_map)) begin
96
- return;
97
- end
98
-
99
- get_submaps(submaps, UVM_NO_HIER);
100
- foreach (submaps[i]) begin
101
- rggen_ral_map rggen_map;
102
- if ($cast(rggen_map, submaps[i])) begin
103
- rggen_map.Xinit_indirect_reg_address_mapX();
104
- end
105
- end
106
-
107
- get_registers(regs, UVM_NO_HIER);
108
- foreach (regs[i]) begin
109
- rggen_ral_indirect_reg indirect_reg;
110
- uvm_reg_map_info map_info;
111
-
112
- if (!$cast(indirect_reg, regs[i])) begin
113
- continue;
114
- end
115
-
116
- map_info = get_reg_map_info(indirect_reg);
117
- map_info.unmapped = 0;
118
- void'(get_physical_addresses(map_info.offset, 0, indirect_reg.get_n_bytes(), map_info.addr));
119
- foreach (map_info.addr[j]) begin
120
- top_rggen_map.m_indirect_regs_by_offset[map_info.addr[j]].push_back(indirect_reg);
121
- end
122
- end
123
- endfunction
124
- `endif
data/ral/rggen_ral_pkg.sv DELETED
@@ -1,15 +0,0 @@
1
- `ifndef __RGGEN_RAL_PKG_SV__
2
- `define __RGGEN_RAL_PKG_SV__
3
- package rggen_ral_pkg;
4
- import uvm_pkg::*;
5
- `include "uvm_macros.svh"
6
-
7
- `include "rggen_ral_macros.svh"
8
- `include "rggen_ral_field.svh"
9
- `include "rggen_ral_field_rwl_rwe.svh"
10
- `include "rggen_ral_reg.svh"
11
- `include "rggen_ral_indirect_reg.svh"
12
- `include "rggen_ral_map.svh"
13
- `include "rggen_ral_block.svh"
14
- endpackage
15
- `endif
@@ -1,88 +0,0 @@
1
- `ifndef __RGGEN_RAL_REG_SVH__
2
- `define __RGGEN_RAL_REG_SVH__
3
- class rggen_ral_reg extends uvm_reg;
4
- protected int indexes[$];
5
- protected uvm_object cfg;
6
- protected string hdl_path_scopes[string];
7
-
8
- extern function new(string name, int unsigned n_bits, int has_coverage);
9
-
10
- extern function void configure(
11
- uvm_object cfg,
12
- uvm_reg_block blk_parent,
13
- uvm_reg_file regfile_parent,
14
- int indexes[$],
15
- string hdl_path = "",
16
- bit single_hdl_variable = 0
17
- );
18
- extern virtual function void build();
19
-
20
- extern virtual function uvm_reg_frontdoor create_frontdoor();
21
-
22
- extern function void set_hdl_path_scope(string hdl_path_scope, string kind = "RTL");
23
- extern function void add_field_hdl_path(string name, int offset, int size, string kind = "RTL", string separalor = ".");
24
-
25
- extern protected virtual function void set_cfg(uvm_object cfg);
26
- extern protected virtual function void create_fields();
27
- endclass
28
-
29
- function rggen_ral_reg::new(string name, int unsigned n_bits, int has_coverage);
30
- super.new(name, n_bits, has_coverage);
31
- endfunction
32
-
33
- function void rggen_ral_reg::configure(
34
- uvm_object cfg,
35
- uvm_reg_block blk_parent,
36
- uvm_reg_file regfile_parent,
37
- int indexes[$],
38
- string hdl_path,
39
- bit single_hdl_variable
40
- );
41
- foreach (indexes[i]) begin
42
- this.indexes.push_back(indexes[i]);
43
- end
44
- set_cfg(cfg);
45
- if (single_hdl_variable) begin
46
- super.configure(blk_parent, regfile_parent, hdl_path);
47
- end
48
- else begin
49
- super.configure(blk_parent, regfile_parent);
50
- set_hdl_path_scope(hdl_path);
51
- end
52
- endfunction
53
-
54
- function void rggen_ral_reg::build();
55
- create_fields();
56
- endfunction
57
-
58
- function uvm_reg_frontdoor rggen_ral_reg::create_frontdoor();
59
- return null;
60
- endfunction
61
-
62
- function void rggen_ral_reg::set_hdl_path_scope(string hdl_path_scope, string kind);
63
- if (hdl_path_scope.len() > 0) begin
64
- hdl_path_scopes[kind] = hdl_path_scope;
65
- end
66
- endfunction
67
-
68
- function void rggen_ral_reg::add_field_hdl_path(string name, int offset, int size, string kind, string separalor);
69
- string path;
70
- if (name.len() == 0) begin
71
- return;
72
- end
73
- if (hdl_path_scopes.exists(kind) && (hdl_path_scopes[kind].len() > 0)) begin
74
- path = {hdl_path_scopes[kind], separalor, name};
75
- end
76
- else begin
77
- path = name;
78
- end
79
- add_hdl_path_slice(path, offset, size, 0, kind);
80
- endfunction
81
-
82
- function void rggen_ral_reg::set_cfg(uvm_object cfg);
83
- this.cfg = cfg;
84
- endfunction
85
-
86
- function void rggen_ral_reg::create_fields();
87
- endfunction
88
- `endif
data/rtl/LICENSE DELETED
@@ -1,21 +0,0 @@
1
- MIT License
2
-
3
- Copyright (c) 2017 Taichi Ishitani
4
-
5
- Permission is hereby granted, free of charge, to any person obtaining a copy
6
- of this software and associated documentation files (the "Software"), to deal
7
- in the Software without restriction, including without limitation the rights
8
- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9
- copies of the Software, and to permit persons to whom the Software is
10
- furnished to do so, subject to the following conditions:
11
-
12
- The above copyright notice and this permission notice shall be included in all
13
- copies or substantial portions of the Software.
14
-
15
- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16
- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17
- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18
- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19
- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20
- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
21
- SOFTWARE.
data/rtl/compile.f DELETED
@@ -1,18 +0,0 @@
1
- ${RGGEN_HOME}/rtl/rggen_rtl_pkg.sv
2
- ${RGGEN_HOME}/rtl/rggen_bus_if.sv
3
- ${RGGEN_HOME}/rtl/rggen_register_if.sv
4
- ${RGGEN_HOME}/rtl/rggen_bit_field_if.sv
5
- ${RGGEN_HOME}/rtl/rggen_bus_splitter.sv
6
- ${RGGEN_HOME}/rtl/rggen_address_decoder.sv
7
- ${RGGEN_HOME}/rtl/rggen_register_base.sv
8
- ${RGGEN_HOME}/rtl/rggen_default_register.sv
9
- ${RGGEN_HOME}/rtl/rggen_indirect_register.sv
10
- ${RGGEN_HOME}/rtl/rggen_external_register.sv
11
- ${RGGEN_HOME}/rtl/rggen_bit_field_ro.sv
12
- ${RGGEN_HOME}/rtl/rggen_bit_field_rw.sv
13
- ${RGGEN_HOME}/rtl/rggen_bit_field_rwl_rwe.sv
14
- ${RGGEN_HOME}/rtl/rggen_bit_field_w01s_w01c.sv
15
- ${RGGEN_HOME}/rtl/rggen_apb_if.sv
16
- ${RGGEN_HOME}/rtl/rggen_host_if_apb.sv
17
- ${RGGEN_HOME}/rtl/rggen_axi4lite_if.sv
18
- ${RGGEN_HOME}/rtl/rggen_host_if_axi4lite.sv
@@ -1,23 +0,0 @@
1
- module rggen_address_decoder #(
2
- parameter int ADDRESS_WIDTH = 8,
3
- parameter bit [ADDRESS_WIDTH-1:0] STAET_ADDRESS = '0,
4
- parameter bit [ADDRESS_WIDTH-1:0] END_ADDRESS = '0,
5
- parameter int DATA_WIDTH = 32
6
- )(
7
- input logic [ADDRESS_WIDTH-1:0] i_address,
8
- output logic o_match
9
- );
10
- localparam int LSB = $clog2(DATA_WIDTH / 8);
11
- localparam bit [ADDRESS_WIDTH-LSB-1:0] SADDRESS = STAET_ADDRESS[ADDRESS_WIDTH-1:LSB];
12
- localparam bit [ADDRESS_WIDTH-LSB-1:0] EADDRESS = END_ADDRESS[ADDRESS_WIDTH-1:LSB];
13
-
14
- generate if (SADDRESS == EADDRESS) begin
15
- assign o_match = (i_address[ADDRESS_WIDTH-1:LSB] == SADDRESS) ? 1'b1 : 1'b0;
16
- end
17
- else begin
18
- assign o_match = (
19
- (i_address[ADDRESS_WIDTH-1:LSB] >= SADDRESS) &&
20
- (i_address[ADDRESS_WIDTH-1:LSB] <= EADDRESS)
21
- ) ? 1'b1 : 1'b0;
22
- end endgenerate
23
- endmodule
data/rtl/rggen_apb_if.sv DELETED
@@ -1,41 +0,0 @@
1
- interface rggen_apb_if #(
2
- parameter int ADDRESS_WIDTH = 16,
3
- parameter int DATA_WIDTH = 32
4
- )();
5
- logic psel;
6
- logic penable;
7
- logic [ADDRESS_WIDTH-1:0] paddr;
8
- logic [2:0] pprot;
9
- logic pwrite;
10
- logic [DATA_WIDTH-1:0] pwdata;
11
- logic [DATA_WIDTH/8-1:0] pstrb;
12
- logic pready;
13
- logic [DATA_WIDTH-1:0] prdata;
14
- logic pslverr;
15
-
16
- modport master (
17
- output psel,
18
- output penable,
19
- output paddr,
20
- output pprot,
21
- output pwrite,
22
- output pwdata,
23
- output pstrb,
24
- input pready,
25
- input prdata,
26
- input pslverr
27
- );
28
-
29
- modport slave (
30
- input psel,
31
- input penable,
32
- input paddr,
33
- input pprot,
34
- input pwrite,
35
- input pwdata,
36
- input pstrb,
37
- output pready,
38
- output prdata,
39
- output pslverr
40
- );
41
- endinterface
@@ -1,68 +0,0 @@
1
- interface rggen_axi4lite_if #(
2
- parameter int ADDRESS_WIDTH = 16,
3
- parameter int DATA_WIDTH = 32
4
- )();
5
- logic awvalid;
6
- logic awready;
7
- logic [ADDRESS_WIDTH-1:0] awaddr;
8
- logic [2:0] awprot;
9
- logic wvalid;
10
- logic wready;
11
- logic [DATA_WIDTH-1:0] wdata;
12
- logic [DATA_WIDTH/8-1:0] wstrb;
13
- logic bvalid;
14
- logic bready;
15
- logic [1:0] bresp;
16
- logic arvalid;
17
- logic arready;
18
- logic [ADDRESS_WIDTH-1:0] araddr;
19
- logic [2:0] arprot;
20
- logic rvalid;
21
- logic rready;
22
- logic [DATA_WIDTH-1:0] rdata;
23
- logic [1:0] rresp;
24
-
25
- modport master (
26
- output awvalid,
27
- input awready,
28
- output awaddr,
29
- output awprot,
30
- output wvalid,
31
- input wready,
32
- output wdata,
33
- output wstrb,
34
- input bvalid,
35
- output bready,
36
- input bresp,
37
- output arvalid,
38
- input arready,
39
- output araddr,
40
- output arprot,
41
- input rvalid,
42
- output rready,
43
- input rdata,
44
- input rresp
45
- );
46
-
47
- modport slave (
48
- input awvalid,
49
- output awready,
50
- input awaddr,
51
- input awprot,
52
- input wvalid,
53
- output wready,
54
- input wdata,
55
- input wstrb,
56
- output bvalid,
57
- input bready,
58
- output bresp,
59
- input arvalid,
60
- output arready,
61
- input araddr,
62
- input arprot,
63
- output rvalid,
64
- input rready,
65
- output rdata,
66
- output rresp
67
- );
68
- endinterface
@@ -1,28 +0,0 @@
1
- interface rggen_bit_field_if #(
2
- parameter int DATA_WIDTH = 32
3
- )();
4
- logic read_access;
5
- logic write_access;
6
- logic [DATA_WIDTH-1:0] write_data;
7
- logic [DATA_WIDTH-1:0] write_mask;
8
- logic [DATA_WIDTH-1:0] value;
9
- logic [DATA_WIDTH-1:0] read_data;
10
-
11
- modport master (
12
- output read_access,
13
- output write_access,
14
- output write_data,
15
- output write_mask,
16
- input value,
17
- input read_data
18
- );
19
-
20
- modport slave (
21
- input read_access,
22
- input write_access,
23
- input write_data,
24
- input write_mask,
25
- output value,
26
- output read_data
27
- );
28
- endinterface