rggen 0.8.2 → 0.9.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (269) hide show
  1. checksums.yaml +4 -4
  2. data/CODE_OF_CONDUCT.md +54 -29
  3. data/{LICENSE.txt → LICENSE} +1 -1
  4. data/README.md +65 -56
  5. data/lib/rggen.rb +4 -63
  6. data/lib/rggen/built_in.rb +53 -0
  7. data/lib/rggen/built_in/bit_field/bit_assignment.rb +108 -0
  8. data/lib/rggen/built_in/bit_field/comment.rb +16 -0
  9. data/lib/rggen/built_in/bit_field/initial_value.rb +45 -0
  10. data/lib/rggen/built_in/bit_field/name.rb +39 -0
  11. data/lib/rggen/built_in/bit_field/reference.rb +100 -0
  12. data/lib/rggen/built_in/bit_field/sv_rtl_top.rb +87 -0
  13. data/lib/rggen/built_in/bit_field/type.rb +279 -0
  14. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb +15 -0
  15. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb +68 -0
  16. data/lib/rggen/built_in/bit_field/type/reserved.erb +3 -0
  17. data/lib/rggen/built_in/bit_field/type/reserved.rb +16 -0
  18. data/lib/rggen/built_in/bit_field/type/ro.erb +6 -0
  19. data/lib/rggen/built_in/bit_field/type/ro.rb +34 -0
  20. data/lib/rggen/built_in/bit_field/type/rof.erb +6 -0
  21. data/lib/rggen/built_in/bit_field/type/rof.rb +17 -0
  22. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb +13 -0
  23. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb +52 -0
  24. data/lib/rggen/built_in/bit_field/type/rw_wo.erb +9 -0
  25. data/lib/rggen/built_in/bit_field/type/rw_wo.rb +33 -0
  26. data/lib/rggen/built_in/bit_field/type/rwe_rwl.erb +14 -0
  27. data/lib/rggen/built_in/bit_field/type/rwe_rwl.rb +39 -0
  28. data/lib/rggen/built_in/global/address_width.rb +32 -0
  29. data/lib/rggen/built_in/global/array_port_format.rb +19 -0
  30. data/lib/rggen/built_in/global/bus_width.rb +33 -0
  31. data/lib/rggen/built_in/global/fold_sv_interface_port.rb +24 -0
  32. data/lib/rggen/built_in/register/name.rb +34 -0
  33. data/lib/rggen/built_in/register/offset_address.rb +96 -0
  34. data/lib/rggen/built_in/register/size.rb +49 -0
  35. data/lib/rggen/built_in/register/sv_rtl_top.rb +82 -0
  36. data/lib/rggen/built_in/register/type.rb +374 -0
  37. data/lib/rggen/built_in/register/type/default_sv_ral.erb +8 -0
  38. data/lib/rggen/built_in/register/type/default_sv_rtl.erb +15 -0
  39. data/lib/rggen/built_in/register/type/external.erb +11 -0
  40. data/lib/rggen/built_in/register/type/external.rb +141 -0
  41. data/lib/rggen/built_in/register/type/indirect.rb +329 -0
  42. data/lib/rggen/built_in/register/type/indirect_sv_ral.erb +13 -0
  43. data/lib/rggen/built_in/register/type/indirect_sv_rtl.erb +17 -0
  44. data/lib/rggen/built_in/register_block/byte_size.rb +59 -0
  45. data/lib/rggen/built_in/register_block/name.rb +36 -0
  46. data/lib/rggen/built_in/register_block/protocol.rb +71 -0
  47. data/lib/rggen/built_in/register_block/protocol/apb.erb +10 -0
  48. data/lib/rggen/built_in/register_block/protocol/apb.rb +113 -0
  49. data/lib/rggen/built_in/register_block/protocol/axi4lite.erb +11 -0
  50. data/lib/rggen/built_in/register_block/protocol/axi4lite.rb +167 -0
  51. data/lib/rggen/built_in/register_block/sv_ral_block_model.erb +11 -0
  52. data/lib/rggen/built_in/register_block/sv_ral_package.rb +58 -0
  53. data/lib/rggen/built_in/register_block/sv_rtl_macros.erb +9 -0
  54. data/lib/rggen/built_in/register_block/sv_rtl_top.rb +87 -0
  55. data/lib/rggen/built_in/version.rb +7 -0
  56. data/lib/rggen/default_setup_file.rb +6 -0
  57. data/lib/rggen/setup/default.rb +26 -0
  58. data/lib/rggen/version.rb +5 -4
  59. data/sample/block_0.rb +85 -0
  60. data/sample/block_0.sv +601 -0
  61. data/sample/block_0.xlsx +0 -0
  62. data/sample/block_0.yml +94 -0
  63. data/sample/block_0_ral_pkg.sv +174 -0
  64. data/sample/block_1.rb +22 -0
  65. data/sample/block_1.sv +136 -0
  66. data/sample/block_1.xlsx +0 -0
  67. data/sample/block_1.yml +26 -0
  68. data/sample/block_1_ral_pkg.sv +68 -0
  69. data/sample/config.json +5 -0
  70. data/sample/config.yml +3 -0
  71. metadata +96 -270
  72. data/bin/rggen +0 -6
  73. data/c_header/LICENSE +0 -21
  74. data/c_header/rggen.h +0 -17
  75. data/lib/rggen/base/component.rb +0 -31
  76. data/lib/rggen/base/component_factory.rb +0 -53
  77. data/lib/rggen/base/hierarchical_accessors.rb +0 -87
  78. data/lib/rggen/base/hierarchical_item_accessors.rb +0 -79
  79. data/lib/rggen/base/internal_struct.rb +0 -28
  80. data/lib/rggen/base/item.rb +0 -35
  81. data/lib/rggen/base/item_factory.rb +0 -25
  82. data/lib/rggen/builder/builder.rb +0 -69
  83. data/lib/rggen/builder/category.rb +0 -63
  84. data/lib/rggen/builder/component_entry.rb +0 -50
  85. data/lib/rggen/builder/component_store.rb +0 -42
  86. data/lib/rggen/builder/input_component_store.rb +0 -25
  87. data/lib/rggen/builder/item_store.rb +0 -89
  88. data/lib/rggen/builder/list_item_entry.rb +0 -81
  89. data/lib/rggen/builder/output_component_store.rb +0 -13
  90. data/lib/rggen/builder/simple_item_entry.rb +0 -33
  91. data/lib/rggen/builtins.rb +0 -55
  92. data/lib/rggen/builtins/bit_field/bit_assignment.rb +0 -39
  93. data/lib/rggen/builtins/bit_field/field_model.rb +0 -38
  94. data/lib/rggen/builtins/bit_field/initial_value.rb +0 -36
  95. data/lib/rggen/builtins/bit_field/name.rb +0 -26
  96. data/lib/rggen/builtins/bit_field/reference.rb +0 -40
  97. data/lib/rggen/builtins/bit_field/rtl_top.rb +0 -11
  98. data/lib/rggen/builtins/bit_field/type.rb +0 -244
  99. data/lib/rggen/builtins/bit_field/types/reserved.erb +0 -6
  100. data/lib/rggen/builtins/bit_field/types/reserved.rb +0 -18
  101. data/lib/rggen/builtins/bit_field/types/ro.erb +0 -6
  102. data/lib/rggen/builtins/bit_field/types/ro.rb +0 -22
  103. data/lib/rggen/builtins/bit_field/types/rw.erb +0 -9
  104. data/lib/rggen/builtins/bit_field/types/rw.rb +0 -23
  105. data/lib/rggen/builtins/bit_field/types/rwl_rwe.erb +0 -11
  106. data/lib/rggen/builtins/bit_field/types/rwl_rwe.rb +0 -54
  107. data/lib/rggen/builtins/bit_field/types/w0c_w1c.erb +0 -12
  108. data/lib/rggen/builtins/bit_field/types/w0c_w1c.rb +0 -33
  109. data/lib/rggen/builtins/bit_field/types/w0s_w1s.erb +0 -12
  110. data/lib/rggen/builtins/bit_field/types/w0s_w1s.rb +0 -33
  111. data/lib/rggen/builtins/bit_field/types/wo.rb +0 -5
  112. data/lib/rggen/builtins/global/address_width.rb +0 -17
  113. data/lib/rggen/builtins/global/array_port_format.rb +0 -15
  114. data/lib/rggen/builtins/global/data_width.rb +0 -20
  115. data/lib/rggen/builtins/global/unfold_sv_interface_port.rb +0 -22
  116. data/lib/rggen/builtins/loaders/configuration/json_loader.rb +0 -7
  117. data/lib/rggen/builtins/loaders/configuration/yaml_loader.rb +0 -7
  118. data/lib/rggen/builtins/loaders/register_map/csv_loader.rb +0 -12
  119. data/lib/rggen/builtins/loaders/register_map/xls_loader.rb +0 -17
  120. data/lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb +0 -21
  121. data/lib/rggen/builtins/register/array.rb +0 -30
  122. data/lib/rggen/builtins/register/constructor.rb +0 -17
  123. data/lib/rggen/builtins/register/field_model_creator.rb +0 -14
  124. data/lib/rggen/builtins/register/indirect_index_configurator.rb +0 -54
  125. data/lib/rggen/builtins/register/name.rb +0 -26
  126. data/lib/rggen/builtins/register/offset_address.rb +0 -61
  127. data/lib/rggen/builtins/register/reg_model.rb +0 -107
  128. data/lib/rggen/builtins/register/rtl_top.rb +0 -68
  129. data/lib/rggen/builtins/register/sub_block_model.rb +0 -34
  130. data/lib/rggen/builtins/register/type.rb +0 -283
  131. data/lib/rggen/builtins/register/types/default.erb +0 -10
  132. data/lib/rggen/builtins/register/types/external.erb +0 -11
  133. data/lib/rggen/builtins/register/types/external.rb +0 -77
  134. data/lib/rggen/builtins/register/types/indirect.erb +0 -13
  135. data/lib/rggen/builtins/register/types/indirect.rb +0 -175
  136. data/lib/rggen/builtins/register/uniqueness_validator.rb +0 -51
  137. data/lib/rggen/builtins/register_block/address_struct.rb +0 -56
  138. data/lib/rggen/builtins/register_block/base_address.rb +0 -64
  139. data/lib/rggen/builtins/register_block/block_model.rb +0 -20
  140. data/lib/rggen/builtins/register_block/byte_size.rb +0 -37
  141. data/lib/rggen/builtins/register_block/c_header_file.rb +0 -15
  142. data/lib/rggen/builtins/register_block/clock_reset.rb +0 -8
  143. data/lib/rggen/builtins/register_block/constructor.rb +0 -14
  144. data/lib/rggen/builtins/register_block/default_map_creator.rb +0 -39
  145. data/lib/rggen/builtins/register_block/host_if.rb +0 -64
  146. data/lib/rggen/builtins/register_block/host_ifs/apb.erb +0 -10
  147. data/lib/rggen/builtins/register_block/host_ifs/apb.rb +0 -64
  148. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.erb +0 -11
  149. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.rb +0 -93
  150. data/lib/rggen/builtins/register_block/name.rb +0 -26
  151. data/lib/rggen/builtins/register_block/ral_package.rb +0 -24
  152. data/lib/rggen/builtins/register_block/rtl_top.rb +0 -38
  153. data/lib/rggen/builtins/register_block/sub_model_creator.rb +0 -14
  154. data/lib/rggen/commands.rb +0 -23
  155. data/lib/rggen/core_components.rb +0 -54
  156. data/lib/rggen/core_components/c_header/item.rb +0 -8
  157. data/lib/rggen/core_components/c_header/setup.rb +0 -19
  158. data/lib/rggen/core_components/c_utility.rb +0 -19
  159. data/lib/rggen/core_components/c_utility/data_structure_definition.rb +0 -60
  160. data/lib/rggen/core_components/c_utility/source_file.rb +0 -10
  161. data/lib/rggen/core_components/c_utility/variable_declaration.rb +0 -35
  162. data/lib/rggen/core_components/code_utility.rb +0 -56
  163. data/lib/rggen/core_components/code_utility/code_block.rb +0 -72
  164. data/lib/rggen/core_components/code_utility/line.rb +0 -28
  165. data/lib/rggen/core_components/code_utility/source_file.rb +0 -97
  166. data/lib/rggen/core_components/configuration/configuration_factory.rb +0 -23
  167. data/lib/rggen/core_components/configuration/item.rb +0 -11
  168. data/lib/rggen/core_components/configuration/item_factory.rb +0 -20
  169. data/lib/rggen/core_components/configuration/raise_error.rb +0 -11
  170. data/lib/rggen/core_components/configuration/setup.rb +0 -14
  171. data/lib/rggen/core_components/erb_engine.rb +0 -15
  172. data/lib/rggen/core_components/ral/component.rb +0 -24
  173. data/lib/rggen/core_components/ral/item.rb +0 -59
  174. data/lib/rggen/core_components/ral/setup.rb +0 -19
  175. data/lib/rggen/core_components/register_map/bit_field_factory.rb +0 -11
  176. data/lib/rggen/core_components/register_map/component.rb +0 -15
  177. data/lib/rggen/core_components/register_map/component_factory.rb +0 -9
  178. data/lib/rggen/core_components/register_map/generic_map.rb +0 -80
  179. data/lib/rggen/core_components/register_map/item.rb +0 -26
  180. data/lib/rggen/core_components/register_map/item_factory.rb +0 -26
  181. data/lib/rggen/core_components/register_map/loader.rb +0 -11
  182. data/lib/rggen/core_components/register_map/raise_error.rb +0 -17
  183. data/lib/rggen/core_components/register_map/register_block_factory.rb +0 -29
  184. data/lib/rggen/core_components/register_map/register_factory.rb +0 -18
  185. data/lib/rggen/core_components/register_map/register_map_factory.rb +0 -18
  186. data/lib/rggen/core_components/register_map/setup.rb +0 -33
  187. data/lib/rggen/core_components/rtl/component.rb +0 -24
  188. data/lib/rggen/core_components/rtl/item.rb +0 -82
  189. data/lib/rggen/core_components/rtl/setup.rb +0 -19
  190. data/lib/rggen/core_components/verilog_utility.rb +0 -88
  191. data/lib/rggen/core_components/verilog_utility/class_definition.rb +0 -56
  192. data/lib/rggen/core_components/verilog_utility/identifier.rb +0 -78
  193. data/lib/rggen/core_components/verilog_utility/interface_instance.rb +0 -37
  194. data/lib/rggen/core_components/verilog_utility/interface_port.rb +0 -33
  195. data/lib/rggen/core_components/verilog_utility/local_scope.rb +0 -75
  196. data/lib/rggen/core_components/verilog_utility/module_definition.rb +0 -82
  197. data/lib/rggen/core_components/verilog_utility/package_definition.rb +0 -57
  198. data/lib/rggen/core_components/verilog_utility/source_file.rb +0 -10
  199. data/lib/rggen/core_components/verilog_utility/structure_definition.rb +0 -51
  200. data/lib/rggen/core_components/verilog_utility/subroutine_definition.rb +0 -41
  201. data/lib/rggen/core_components/verilog_utility/variable.rb +0 -115
  202. data/lib/rggen/core_extensions/array.rb +0 -9
  203. data/lib/rggen/core_extensions/facets.rb +0 -22
  204. data/lib/rggen/core_extensions/forwardable.rb +0 -49
  205. data/lib/rggen/core_extensions/integer.rb +0 -5
  206. data/lib/rggen/core_extensions/math.rb +0 -7
  207. data/lib/rggen/core_extensions/roo.rb +0 -17
  208. data/lib/rggen/exceptions.rb +0 -28
  209. data/lib/rggen/generator.rb +0 -67
  210. data/lib/rggen/input_base/component.rb +0 -28
  211. data/lib/rggen/input_base/component_factory.rb +0 -58
  212. data/lib/rggen/input_base/item.rb +0 -171
  213. data/lib/rggen/input_base/item_factory.rb +0 -13
  214. data/lib/rggen/input_base/loader.rb +0 -23
  215. data/lib/rggen/input_base/regexp_patterns.rb +0 -29
  216. data/lib/rggen/option_switches.rb +0 -60
  217. data/lib/rggen/options.rb +0 -97
  218. data/lib/rggen/output_base/code_generator.rb +0 -36
  219. data/lib/rggen/output_base/component.rb +0 -78
  220. data/lib/rggen/output_base/component_factory.rb +0 -32
  221. data/lib/rggen/output_base/file_writer.rb +0 -36
  222. data/lib/rggen/output_base/item.rb +0 -110
  223. data/lib/rggen/output_base/item_factory.rb +0 -9
  224. data/lib/rggen/output_base/template_engine.rb +0 -24
  225. data/lib/rggen/rggen_home.rb +0 -3
  226. data/ral/LICENSE +0 -21
  227. data/ral/compile.f +0 -2
  228. data/ral/rggen_ral_block.svh +0 -83
  229. data/ral/rggen_ral_field.svh +0 -47
  230. data/ral/rggen_ral_field_rwl_rwe.svh +0 -158
  231. data/ral/rggen_ral_indirect_reg.svh +0 -193
  232. data/ral/rggen_ral_macros.svh +0 -27
  233. data/ral/rggen_ral_map.svh +0 -124
  234. data/ral/rggen_ral_pkg.sv +0 -15
  235. data/ral/rggen_ral_reg.svh +0 -88
  236. data/rtl/LICENSE +0 -21
  237. data/rtl/compile.f +0 -18
  238. data/rtl/rggen_address_decoder.sv +0 -23
  239. data/rtl/rggen_apb_if.sv +0 -41
  240. data/rtl/rggen_axi4lite_if.sv +0 -68
  241. data/rtl/rggen_bit_field_if.sv +0 -28
  242. data/rtl/rggen_bit_field_ro.sv +0 -9
  243. data/rtl/rggen_bit_field_rw.sv +0 -25
  244. data/rtl/rggen_bit_field_rwl_rwe.sv +0 -29
  245. data/rtl/rggen_bit_field_w01s_w01c.sv +0 -55
  246. data/rtl/rggen_bus_if.sv +0 -43
  247. data/rtl/rggen_bus_splitter.sv +0 -86
  248. data/rtl/rggen_default_register.sv +0 -15
  249. data/rtl/rggen_external_register.sv +0 -83
  250. data/rtl/rggen_host_if_apb.sv +0 -29
  251. data/rtl/rggen_host_if_axi4lite.sv +0 -161
  252. data/rtl/rggen_indirect_register.sv +0 -21
  253. data/rtl/rggen_register_base.sv +0 -57
  254. data/rtl/rggen_register_if.sv +0 -42
  255. data/rtl/rggen_rtl_pkg.sv +0 -23
  256. data/sample/LICENSE +0 -21
  257. data/sample/sample.csv +0 -21
  258. data/sample/sample.json +0 -6
  259. data/sample/sample.xls +0 -0
  260. data/sample/sample.xlsx +0 -0
  261. data/sample/sample.yaml +0 -4
  262. data/sample/sample_0.h +0 -17
  263. data/sample/sample_0.sv +0 -402
  264. data/sample/sample_0_ral_pkg.sv +0 -145
  265. data/sample/sample_1.h +0 -9
  266. data/sample/sample_1.sv +0 -128
  267. data/sample/sample_1_ral_pkg.sv +0 -56
  268. data/sample/sample_setup.rb +0 -24
  269. data/setup/default.rb +0 -14
@@ -1,26 +0,0 @@
1
- module RgGen
2
- module RegisterMap
3
- class ItemFactory < InputBase::ItemFactory
4
- include RaiseError
5
-
6
- def create(component, cell = nil)
7
- convert_cell_value(cell)
8
- create_item(component, cell) do |item|
9
- item.build(cell) unless cell.nil?
10
- end
11
- end
12
-
13
- private
14
-
15
- def convert_cell_value(cell)
16
- return if cell.nil?
17
- return if cell.empty?
18
- cell.value = convert(cell.value)
19
- end
20
-
21
- def convert(cell)
22
- cell
23
- end
24
- end
25
- end
26
- end
@@ -1,11 +0,0 @@
1
- module RgGen
2
- module RegisterMap
3
- class Loader < InputBase::Loader
4
- private
5
-
6
- def create_map(file)
7
- GenericMap.new(file).tap { |m| yield(m) if block_given? }
8
- end
9
- end
10
- end
11
- end
@@ -1,17 +0,0 @@
1
- module RgGen
2
- module RegisterMap
3
- module RaiseError
4
- private
5
-
6
- def error(message, cell_or_position = nil)
7
- error_position =
8
- case cell_or_position
9
- when GenericMap::Cell::Position then cell_or_position
10
- when GenericMap::Cell then cell_or_position.position
11
- else @position
12
- end
13
- raise RgGen::RegisterMapError.new(message, error_position)
14
- end
15
- end
16
- end
17
- end
@@ -1,29 +0,0 @@
1
- module RgGen
2
- module RegisterMap
3
- class RegisterBlockFactory < ComponentFactory
4
- def create_active_items(register_block, sheet)
5
- active_item_factories.each_value.with_index do |factory, index|
6
- cell = sheet[index, 2]
7
- create_item(factory, register_block, cell)
8
- end
9
- end
10
-
11
- def create_children(register_block, configuration, sheet)
12
- cell_blocks(sheet).each do |block|
13
- create_child(register_block, configuration, block)
14
- end
15
- end
16
-
17
- def cell_blocks(sheet)
18
- drop_row_size = active_item_factories.size + 2
19
- drop_column_size = 1
20
- sheet.rows.drop(drop_row_size).each_with_object([]) do |row, blocks|
21
- valid_cells = row.drop(drop_column_size)
22
- next if valid_cells.all?(&:empty?)
23
- blocks << [] unless valid_cells.first.empty?
24
- blocks.last << valid_cells
25
- end
26
- end
27
- end
28
- end
29
- end
@@ -1,18 +0,0 @@
1
- module RgGen
2
- module RegisterMap
3
- class RegisterFactory < ComponentFactory
4
- def create_active_items(register, rows)
5
- active_item_factories.each_value.with_index do |factory, index|
6
- create_item(factory, register, rows.first[index])
7
- end
8
- end
9
-
10
- def create_children(register, configuration, rows)
11
- drop_size = active_item_factories.size
12
- rows.each do |row|
13
- create_child(register, configuration, row.drop(drop_size))
14
- end
15
- end
16
- end
17
- end
18
- end
@@ -1,18 +0,0 @@
1
- module RgGen
2
- module RegisterMap
3
- class RegisterMapFactory < ComponentFactory
4
- def create_children(register_map, configuration, map)
5
- map.sheets.each do |sheet|
6
- create_child(register_map, configuration, sheet)
7
- end
8
- end
9
-
10
- def load(file)
11
- map = load_file(file)
12
- return map if map.is_a?(GenericMap)
13
- message = "GenericMap type required for register map: #{map.class}"
14
- raise RgGen::LoadError, message
15
- end
16
- end
17
- end
18
- end
@@ -1,33 +0,0 @@
1
- module RgGen
2
- module RegisterMap
3
- input_component_store :register_map do
4
- entry do
5
- component_class Component
6
- component_factory RegisterMapFactory
7
- end
8
-
9
- entry :register_block do
10
- component_class Component
11
- component_factory RegisterBlockFactory
12
- item_base Item
13
- item_factory ItemFactory
14
- end
15
-
16
- entry :register do
17
- component_class Component
18
- component_factory RegisterFactory
19
- item_base Item
20
- item_factory ItemFactory
21
- end
22
-
23
- entry :bit_field do
24
- component_class Component
25
- component_factory BitFieldFactory
26
- item_base Item
27
- item_factory ItemFactory
28
- end
29
-
30
- loader_base Loader
31
- end
32
- end
33
- end
@@ -1,24 +0,0 @@
1
- module RgGen
2
- module RTL
3
- class Component < OutputBase::Component
4
- def build
5
- super
6
- @items.each do |item|
7
- def_object_delegators(item, *item.identifiers)
8
- end
9
- end
10
-
11
- def signal_declarations(domain)
12
- [*@items, *@children].flat_map { |o| o.signal_declarations(domain) }
13
- end
14
-
15
- def port_declarations(domain)
16
- [*@items, *@children].flat_map { |o| o.port_declarations(domain) }
17
- end
18
-
19
- def parameter_declarations(domain)
20
- [*@items, *@children].flat_map { |o| o.parameter_declarations(domain) }
21
- end
22
- end
23
- end
24
- end
@@ -1,82 +0,0 @@
1
- module RgGen
2
- module RTL
3
- class Item < OutputBase::Item
4
- include VerilogUtility
5
- template_engine ERBEngine
6
-
7
- def initialize(owner)
8
- super(owner)
9
- @identifiers = []
10
- @signal_declarations = Hash.new { |h, d| h[d] = [] }
11
- @port_declarations = Hash.new { |h, d| h[d] = [] }
12
- @parameter_declarations = Hash.new { |h, d| h[d] = [] }
13
- end
14
-
15
- attr_reader :identifiers
16
-
17
- def_delegator :@signal_declarations , :[], :signal_declarations
18
- def_delegator :@port_declarations , :[], :port_declarations
19
- def_delegator :@parameter_declarations, :[], :parameter_declarations
20
-
21
- class << self
22
- private
23
-
24
- def define_declaration_method(method_name)
25
- define_method(method_name) do |domain, handle_name, attributes = {}|
26
- attributes[:name] ||= handle_name
27
- declaration = create_declaration(method_name, attributes)
28
- add_declaration(method_name, domain, declaration)
29
- add_identifier(handle_name, declaration.identifier)
30
- end
31
- private method_name
32
- end
33
- end
34
-
35
- define_declaration_method :wire
36
- define_declaration_method :reg
37
- define_declaration_method :logic
38
- define_declaration_method :interface
39
- define_declaration_method :input
40
- define_declaration_method :output
41
- define_declaration_method :interface_port
42
- define_declaration_method :parameter
43
- define_declaration_method :localparam
44
-
45
- private
46
-
47
- def create_declaration(type, attributes)
48
- case type
49
- when :wire, :reg, :logic
50
- variable_declaration(attributes.merge(data_type: type))
51
- when :interface
52
- interface_instance(attributes)
53
- when :input, :output
54
- port_declaration(attributes.merge(direction: type))
55
- when :interface_port
56
- interface_port_declaration(attributes)
57
- when :parameter, :localparam
58
- parameter_declaration(attributes.merge(parameter_type: type))
59
- end
60
- end
61
-
62
- def add_declaration(type, domain, declaration)
63
- declarations =
64
- case type
65
- when :wire, :reg, :logic, :interface
66
- @signal_declarations[domain]
67
- when :input, :output, :interface_port
68
- @port_declarations[domain]
69
- when :parameter, :localparam
70
- @parameter_declarations[domain]
71
- end
72
- declarations << declaration
73
- end
74
-
75
- def add_identifier(handle_name, identifier)
76
- instance_variable_set(handle_name.variablize, identifier)
77
- attr_singleton_reader(handle_name)
78
- identifiers << handle_name
79
- end
80
- end
81
- end
82
- end
@@ -1,19 +0,0 @@
1
- module RgGen
2
- module RTL
3
- output_component_store :rtl do
4
- entry do
5
- component_class OutputBase::Component
6
- component_factory OutputBase::ComponentFactory
7
- end
8
-
9
- entry [:register_block, :register, :bit_field] do
10
- component_class Component
11
- component_factory OutputBase::ComponentFactory
12
- item_base Item
13
- item_factory OutputBase::ItemFactory
14
- end
15
-
16
- output_directory 'rtl'
17
- end
18
- end
19
- end
@@ -1,88 +0,0 @@
1
- module RgGen
2
- module VerilogUtility
3
- include CodeUtility
4
-
5
- def create_blank_file(path)
6
- SourceFile.new(path)
7
- end
8
-
9
- private
10
-
11
- def create_identifier(name)
12
- Identifier.new(name)
13
- end
14
-
15
- def variable_declaration(attributes)
16
- Variable.new(:variable, attributes)
17
- end
18
-
19
- def interface_instance(attributes)
20
- InterfaceInstance.new(attributes)
21
- end
22
-
23
- def port_declaration(attributes)
24
- Variable.new(:port, attributes)
25
- end
26
-
27
- def interface_port_declaration(attributes)
28
- InterfacePort.new(attributes)
29
- end
30
-
31
- def parameter_declaration(attributes)
32
- Variable.new(:parameter, attributes)
33
- end
34
-
35
- def module_definition(name, &body)
36
- ModuleDefinition.new(name, &body).to_code
37
- end
38
-
39
- def package_definition(name, &body)
40
- PackageDefinition.new(name, &body).to_code
41
- end
42
-
43
- def class_definition(name, &body)
44
- ClassDefinition.new(name, &body).to_code
45
- end
46
-
47
- def function_definition(name, &body)
48
- SubroutineDefinition.new(:function, name, &body).to_code
49
- end
50
-
51
- def local_scope(block_name, &body)
52
- LocalScope.new(block_name, &body).to_code
53
- end
54
-
55
- def argument(name, attributes)
56
- port_declaration(attributes.merge(name: name))
57
- end
58
-
59
- def assign(lhs, rhs)
60
- "assign #{lhs} = #{rhs};"
61
- end
62
-
63
- def subroutine_call(subroutine, arguments = nil)
64
- "#{subroutine}(#{Array(arguments).join(', ')})"
65
- end
66
-
67
- def concat(expression_or_expressions)
68
- "{#{Array(expression_or_expressions).join(', ')}}"
69
- end
70
-
71
- def array(expression_or_expressions)
72
- "'#{concat(expression_or_expressions)}"
73
- end
74
-
75
- def bin(value, width)
76
- format("%d'b%0*b", width, width, value)
77
- end
78
-
79
- def dec(value, width)
80
- format("%d'd%d", width, value)
81
- end
82
-
83
- def hex(value, width)
84
- print_width = (width + 3) / 4
85
- format("%d'h%0*x", width, print_width, value)
86
- end
87
- end
88
- end
@@ -1,56 +0,0 @@
1
- module RgGen
2
- module VerilogUtility
3
- class ClassDefinition < StructureDefinition
4
- attr_setter :base
5
- attr_setter :parameters
6
- attr_setter :variables
7
-
8
- private
9
-
10
- def header_code
11
- code_block do |code|
12
- code << :class << space << @name
13
- parameters? && paraemter_declarations(code)
14
- @base && (code << space <<:extends << space << @base)
15
- code << semicolon
16
- end
17
- end
18
-
19
- def body_code_blocks
20
- blocks = []
21
- variables? && (blocks << variables_declarations)
22
- blocks.concat(super)
23
- blocks
24
- end
25
-
26
- def footer_code
27
- :endclass
28
- end
29
-
30
- def parameters?
31
- !(@parameters.nil? || @parameters.empty?)
32
- end
33
-
34
- def variables?
35
- !(@variables.nil? || @variables.empty?)
36
- end
37
-
38
- def paraemter_declarations(code)
39
- wrap(code, '#(', ')') do
40
- indent(code, 2) do
41
- @parameters.each_with_index do |d, i|
42
- code << comma << nl if i > 0
43
- code << d
44
- end
45
- end
46
- end
47
- end
48
-
49
- def variables_declarations
50
- lambda do |code|
51
- variables.each { |variable| code << variable << semicolon << nl }
52
- end
53
- end
54
- end
55
- end
56
- end
@@ -1,78 +0,0 @@
1
- module RgGen
2
- module VerilogUtility
3
- class Identifier
4
- include InputBase::RegxpPatterns
5
-
6
- def initialize(name, width = nil, array_dimensions = nil, array_format = nil)
7
- @name = name
8
- @width = width
9
- @array_dimensions = array_dimensions
10
- @array_format = array_format || :unpacked
11
- end
12
-
13
- def to_s
14
- @name.to_s
15
- end
16
-
17
- def [](array_index_or_msb, lsb = array_index_or_msb)
18
- if array_index_or_msb.nil?
19
- self
20
- else
21
- new_name =
22
- if array_index_or_msb.is_a?(Array)
23
- "#{@name}#{array_selection(array_index_or_msb)}"
24
- elsif array_index_or_msb == lsb
25
- "#{@name}[#{array_index_or_msb}]"
26
- else
27
- "#{@name}[#{array_index_or_msb}:#{lsb}]"
28
- end
29
- Identifier.new(new_name, nil, nil, nil)
30
- end
31
- end
32
-
33
- TYPE_CONVERSIONS = [
34
- :to_a, :to_ary, :to_hash, :to_int, :to_io, :to_proc, :to_regexp, :to_str
35
- ].freeze
36
-
37
- def method_missing(name, *args)
38
- args.size.zero? || (return super)
39
- TYPE_CONVERSIONS.include?(name) && (return super)
40
- (name =~ variable_name) || (return super)
41
- Identifier.new("#{@name}.#{name}", nil, nil, nil)
42
- end
43
-
44
- def respond_to_missing?(symbol, include_private)
45
- TYPE_CONVERSIONS.include?(symbol) && (return super)
46
- symbol =~ variable_name || (return super)
47
- true
48
- end
49
-
50
- private
51
-
52
- def array_selection(array_index)
53
- if @array_format == :unpacked
54
- array_index.map { |i| "[#{i}]" }.join
55
- else
56
- "[#{@width}*(#{vector_index(array_index)})+:#{@width}]"
57
- end
58
- end
59
-
60
- def vector_index(array_index)
61
- index = []
62
- array_index.zip(index_factors).reverse_each do |i, f|
63
- index << ((index.size.zero? && i.to_s) || "#{f}*#{i}")
64
- end
65
- index.reverse.join('+')
66
- end
67
-
68
- def index_factors
69
- factors = []
70
- @array_dimensions.reverse.inject(1) do |elements, dimension|
71
- factors.unshift(elements)
72
- elements * dimension
73
- end
74
- factors
75
- end
76
- end
77
- end
78
- end