rggen 0.8.2 → 0.9.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (269) hide show
  1. checksums.yaml +4 -4
  2. data/CODE_OF_CONDUCT.md +54 -29
  3. data/{LICENSE.txt → LICENSE} +1 -1
  4. data/README.md +65 -56
  5. data/lib/rggen.rb +4 -63
  6. data/lib/rggen/built_in.rb +53 -0
  7. data/lib/rggen/built_in/bit_field/bit_assignment.rb +108 -0
  8. data/lib/rggen/built_in/bit_field/comment.rb +16 -0
  9. data/lib/rggen/built_in/bit_field/initial_value.rb +45 -0
  10. data/lib/rggen/built_in/bit_field/name.rb +39 -0
  11. data/lib/rggen/built_in/bit_field/reference.rb +100 -0
  12. data/lib/rggen/built_in/bit_field/sv_rtl_top.rb +87 -0
  13. data/lib/rggen/built_in/bit_field/type.rb +279 -0
  14. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb +15 -0
  15. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb +68 -0
  16. data/lib/rggen/built_in/bit_field/type/reserved.erb +3 -0
  17. data/lib/rggen/built_in/bit_field/type/reserved.rb +16 -0
  18. data/lib/rggen/built_in/bit_field/type/ro.erb +6 -0
  19. data/lib/rggen/built_in/bit_field/type/ro.rb +34 -0
  20. data/lib/rggen/built_in/bit_field/type/rof.erb +6 -0
  21. data/lib/rggen/built_in/bit_field/type/rof.rb +17 -0
  22. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb +13 -0
  23. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb +52 -0
  24. data/lib/rggen/built_in/bit_field/type/rw_wo.erb +9 -0
  25. data/lib/rggen/built_in/bit_field/type/rw_wo.rb +33 -0
  26. data/lib/rggen/built_in/bit_field/type/rwe_rwl.erb +14 -0
  27. data/lib/rggen/built_in/bit_field/type/rwe_rwl.rb +39 -0
  28. data/lib/rggen/built_in/global/address_width.rb +32 -0
  29. data/lib/rggen/built_in/global/array_port_format.rb +19 -0
  30. data/lib/rggen/built_in/global/bus_width.rb +33 -0
  31. data/lib/rggen/built_in/global/fold_sv_interface_port.rb +24 -0
  32. data/lib/rggen/built_in/register/name.rb +34 -0
  33. data/lib/rggen/built_in/register/offset_address.rb +96 -0
  34. data/lib/rggen/built_in/register/size.rb +49 -0
  35. data/lib/rggen/built_in/register/sv_rtl_top.rb +82 -0
  36. data/lib/rggen/built_in/register/type.rb +374 -0
  37. data/lib/rggen/built_in/register/type/default_sv_ral.erb +8 -0
  38. data/lib/rggen/built_in/register/type/default_sv_rtl.erb +15 -0
  39. data/lib/rggen/built_in/register/type/external.erb +11 -0
  40. data/lib/rggen/built_in/register/type/external.rb +141 -0
  41. data/lib/rggen/built_in/register/type/indirect.rb +329 -0
  42. data/lib/rggen/built_in/register/type/indirect_sv_ral.erb +13 -0
  43. data/lib/rggen/built_in/register/type/indirect_sv_rtl.erb +17 -0
  44. data/lib/rggen/built_in/register_block/byte_size.rb +59 -0
  45. data/lib/rggen/built_in/register_block/name.rb +36 -0
  46. data/lib/rggen/built_in/register_block/protocol.rb +71 -0
  47. data/lib/rggen/built_in/register_block/protocol/apb.erb +10 -0
  48. data/lib/rggen/built_in/register_block/protocol/apb.rb +113 -0
  49. data/lib/rggen/built_in/register_block/protocol/axi4lite.erb +11 -0
  50. data/lib/rggen/built_in/register_block/protocol/axi4lite.rb +167 -0
  51. data/lib/rggen/built_in/register_block/sv_ral_block_model.erb +11 -0
  52. data/lib/rggen/built_in/register_block/sv_ral_package.rb +58 -0
  53. data/lib/rggen/built_in/register_block/sv_rtl_macros.erb +9 -0
  54. data/lib/rggen/built_in/register_block/sv_rtl_top.rb +87 -0
  55. data/lib/rggen/built_in/version.rb +7 -0
  56. data/lib/rggen/default_setup_file.rb +6 -0
  57. data/lib/rggen/setup/default.rb +26 -0
  58. data/lib/rggen/version.rb +5 -4
  59. data/sample/block_0.rb +85 -0
  60. data/sample/block_0.sv +601 -0
  61. data/sample/block_0.xlsx +0 -0
  62. data/sample/block_0.yml +94 -0
  63. data/sample/block_0_ral_pkg.sv +174 -0
  64. data/sample/block_1.rb +22 -0
  65. data/sample/block_1.sv +136 -0
  66. data/sample/block_1.xlsx +0 -0
  67. data/sample/block_1.yml +26 -0
  68. data/sample/block_1_ral_pkg.sv +68 -0
  69. data/sample/config.json +5 -0
  70. data/sample/config.yml +3 -0
  71. metadata +96 -270
  72. data/bin/rggen +0 -6
  73. data/c_header/LICENSE +0 -21
  74. data/c_header/rggen.h +0 -17
  75. data/lib/rggen/base/component.rb +0 -31
  76. data/lib/rggen/base/component_factory.rb +0 -53
  77. data/lib/rggen/base/hierarchical_accessors.rb +0 -87
  78. data/lib/rggen/base/hierarchical_item_accessors.rb +0 -79
  79. data/lib/rggen/base/internal_struct.rb +0 -28
  80. data/lib/rggen/base/item.rb +0 -35
  81. data/lib/rggen/base/item_factory.rb +0 -25
  82. data/lib/rggen/builder/builder.rb +0 -69
  83. data/lib/rggen/builder/category.rb +0 -63
  84. data/lib/rggen/builder/component_entry.rb +0 -50
  85. data/lib/rggen/builder/component_store.rb +0 -42
  86. data/lib/rggen/builder/input_component_store.rb +0 -25
  87. data/lib/rggen/builder/item_store.rb +0 -89
  88. data/lib/rggen/builder/list_item_entry.rb +0 -81
  89. data/lib/rggen/builder/output_component_store.rb +0 -13
  90. data/lib/rggen/builder/simple_item_entry.rb +0 -33
  91. data/lib/rggen/builtins.rb +0 -55
  92. data/lib/rggen/builtins/bit_field/bit_assignment.rb +0 -39
  93. data/lib/rggen/builtins/bit_field/field_model.rb +0 -38
  94. data/lib/rggen/builtins/bit_field/initial_value.rb +0 -36
  95. data/lib/rggen/builtins/bit_field/name.rb +0 -26
  96. data/lib/rggen/builtins/bit_field/reference.rb +0 -40
  97. data/lib/rggen/builtins/bit_field/rtl_top.rb +0 -11
  98. data/lib/rggen/builtins/bit_field/type.rb +0 -244
  99. data/lib/rggen/builtins/bit_field/types/reserved.erb +0 -6
  100. data/lib/rggen/builtins/bit_field/types/reserved.rb +0 -18
  101. data/lib/rggen/builtins/bit_field/types/ro.erb +0 -6
  102. data/lib/rggen/builtins/bit_field/types/ro.rb +0 -22
  103. data/lib/rggen/builtins/bit_field/types/rw.erb +0 -9
  104. data/lib/rggen/builtins/bit_field/types/rw.rb +0 -23
  105. data/lib/rggen/builtins/bit_field/types/rwl_rwe.erb +0 -11
  106. data/lib/rggen/builtins/bit_field/types/rwl_rwe.rb +0 -54
  107. data/lib/rggen/builtins/bit_field/types/w0c_w1c.erb +0 -12
  108. data/lib/rggen/builtins/bit_field/types/w0c_w1c.rb +0 -33
  109. data/lib/rggen/builtins/bit_field/types/w0s_w1s.erb +0 -12
  110. data/lib/rggen/builtins/bit_field/types/w0s_w1s.rb +0 -33
  111. data/lib/rggen/builtins/bit_field/types/wo.rb +0 -5
  112. data/lib/rggen/builtins/global/address_width.rb +0 -17
  113. data/lib/rggen/builtins/global/array_port_format.rb +0 -15
  114. data/lib/rggen/builtins/global/data_width.rb +0 -20
  115. data/lib/rggen/builtins/global/unfold_sv_interface_port.rb +0 -22
  116. data/lib/rggen/builtins/loaders/configuration/json_loader.rb +0 -7
  117. data/lib/rggen/builtins/loaders/configuration/yaml_loader.rb +0 -7
  118. data/lib/rggen/builtins/loaders/register_map/csv_loader.rb +0 -12
  119. data/lib/rggen/builtins/loaders/register_map/xls_loader.rb +0 -17
  120. data/lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb +0 -21
  121. data/lib/rggen/builtins/register/array.rb +0 -30
  122. data/lib/rggen/builtins/register/constructor.rb +0 -17
  123. data/lib/rggen/builtins/register/field_model_creator.rb +0 -14
  124. data/lib/rggen/builtins/register/indirect_index_configurator.rb +0 -54
  125. data/lib/rggen/builtins/register/name.rb +0 -26
  126. data/lib/rggen/builtins/register/offset_address.rb +0 -61
  127. data/lib/rggen/builtins/register/reg_model.rb +0 -107
  128. data/lib/rggen/builtins/register/rtl_top.rb +0 -68
  129. data/lib/rggen/builtins/register/sub_block_model.rb +0 -34
  130. data/lib/rggen/builtins/register/type.rb +0 -283
  131. data/lib/rggen/builtins/register/types/default.erb +0 -10
  132. data/lib/rggen/builtins/register/types/external.erb +0 -11
  133. data/lib/rggen/builtins/register/types/external.rb +0 -77
  134. data/lib/rggen/builtins/register/types/indirect.erb +0 -13
  135. data/lib/rggen/builtins/register/types/indirect.rb +0 -175
  136. data/lib/rggen/builtins/register/uniqueness_validator.rb +0 -51
  137. data/lib/rggen/builtins/register_block/address_struct.rb +0 -56
  138. data/lib/rggen/builtins/register_block/base_address.rb +0 -64
  139. data/lib/rggen/builtins/register_block/block_model.rb +0 -20
  140. data/lib/rggen/builtins/register_block/byte_size.rb +0 -37
  141. data/lib/rggen/builtins/register_block/c_header_file.rb +0 -15
  142. data/lib/rggen/builtins/register_block/clock_reset.rb +0 -8
  143. data/lib/rggen/builtins/register_block/constructor.rb +0 -14
  144. data/lib/rggen/builtins/register_block/default_map_creator.rb +0 -39
  145. data/lib/rggen/builtins/register_block/host_if.rb +0 -64
  146. data/lib/rggen/builtins/register_block/host_ifs/apb.erb +0 -10
  147. data/lib/rggen/builtins/register_block/host_ifs/apb.rb +0 -64
  148. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.erb +0 -11
  149. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.rb +0 -93
  150. data/lib/rggen/builtins/register_block/name.rb +0 -26
  151. data/lib/rggen/builtins/register_block/ral_package.rb +0 -24
  152. data/lib/rggen/builtins/register_block/rtl_top.rb +0 -38
  153. data/lib/rggen/builtins/register_block/sub_model_creator.rb +0 -14
  154. data/lib/rggen/commands.rb +0 -23
  155. data/lib/rggen/core_components.rb +0 -54
  156. data/lib/rggen/core_components/c_header/item.rb +0 -8
  157. data/lib/rggen/core_components/c_header/setup.rb +0 -19
  158. data/lib/rggen/core_components/c_utility.rb +0 -19
  159. data/lib/rggen/core_components/c_utility/data_structure_definition.rb +0 -60
  160. data/lib/rggen/core_components/c_utility/source_file.rb +0 -10
  161. data/lib/rggen/core_components/c_utility/variable_declaration.rb +0 -35
  162. data/lib/rggen/core_components/code_utility.rb +0 -56
  163. data/lib/rggen/core_components/code_utility/code_block.rb +0 -72
  164. data/lib/rggen/core_components/code_utility/line.rb +0 -28
  165. data/lib/rggen/core_components/code_utility/source_file.rb +0 -97
  166. data/lib/rggen/core_components/configuration/configuration_factory.rb +0 -23
  167. data/lib/rggen/core_components/configuration/item.rb +0 -11
  168. data/lib/rggen/core_components/configuration/item_factory.rb +0 -20
  169. data/lib/rggen/core_components/configuration/raise_error.rb +0 -11
  170. data/lib/rggen/core_components/configuration/setup.rb +0 -14
  171. data/lib/rggen/core_components/erb_engine.rb +0 -15
  172. data/lib/rggen/core_components/ral/component.rb +0 -24
  173. data/lib/rggen/core_components/ral/item.rb +0 -59
  174. data/lib/rggen/core_components/ral/setup.rb +0 -19
  175. data/lib/rggen/core_components/register_map/bit_field_factory.rb +0 -11
  176. data/lib/rggen/core_components/register_map/component.rb +0 -15
  177. data/lib/rggen/core_components/register_map/component_factory.rb +0 -9
  178. data/lib/rggen/core_components/register_map/generic_map.rb +0 -80
  179. data/lib/rggen/core_components/register_map/item.rb +0 -26
  180. data/lib/rggen/core_components/register_map/item_factory.rb +0 -26
  181. data/lib/rggen/core_components/register_map/loader.rb +0 -11
  182. data/lib/rggen/core_components/register_map/raise_error.rb +0 -17
  183. data/lib/rggen/core_components/register_map/register_block_factory.rb +0 -29
  184. data/lib/rggen/core_components/register_map/register_factory.rb +0 -18
  185. data/lib/rggen/core_components/register_map/register_map_factory.rb +0 -18
  186. data/lib/rggen/core_components/register_map/setup.rb +0 -33
  187. data/lib/rggen/core_components/rtl/component.rb +0 -24
  188. data/lib/rggen/core_components/rtl/item.rb +0 -82
  189. data/lib/rggen/core_components/rtl/setup.rb +0 -19
  190. data/lib/rggen/core_components/verilog_utility.rb +0 -88
  191. data/lib/rggen/core_components/verilog_utility/class_definition.rb +0 -56
  192. data/lib/rggen/core_components/verilog_utility/identifier.rb +0 -78
  193. data/lib/rggen/core_components/verilog_utility/interface_instance.rb +0 -37
  194. data/lib/rggen/core_components/verilog_utility/interface_port.rb +0 -33
  195. data/lib/rggen/core_components/verilog_utility/local_scope.rb +0 -75
  196. data/lib/rggen/core_components/verilog_utility/module_definition.rb +0 -82
  197. data/lib/rggen/core_components/verilog_utility/package_definition.rb +0 -57
  198. data/lib/rggen/core_components/verilog_utility/source_file.rb +0 -10
  199. data/lib/rggen/core_components/verilog_utility/structure_definition.rb +0 -51
  200. data/lib/rggen/core_components/verilog_utility/subroutine_definition.rb +0 -41
  201. data/lib/rggen/core_components/verilog_utility/variable.rb +0 -115
  202. data/lib/rggen/core_extensions/array.rb +0 -9
  203. data/lib/rggen/core_extensions/facets.rb +0 -22
  204. data/lib/rggen/core_extensions/forwardable.rb +0 -49
  205. data/lib/rggen/core_extensions/integer.rb +0 -5
  206. data/lib/rggen/core_extensions/math.rb +0 -7
  207. data/lib/rggen/core_extensions/roo.rb +0 -17
  208. data/lib/rggen/exceptions.rb +0 -28
  209. data/lib/rggen/generator.rb +0 -67
  210. data/lib/rggen/input_base/component.rb +0 -28
  211. data/lib/rggen/input_base/component_factory.rb +0 -58
  212. data/lib/rggen/input_base/item.rb +0 -171
  213. data/lib/rggen/input_base/item_factory.rb +0 -13
  214. data/lib/rggen/input_base/loader.rb +0 -23
  215. data/lib/rggen/input_base/regexp_patterns.rb +0 -29
  216. data/lib/rggen/option_switches.rb +0 -60
  217. data/lib/rggen/options.rb +0 -97
  218. data/lib/rggen/output_base/code_generator.rb +0 -36
  219. data/lib/rggen/output_base/component.rb +0 -78
  220. data/lib/rggen/output_base/component_factory.rb +0 -32
  221. data/lib/rggen/output_base/file_writer.rb +0 -36
  222. data/lib/rggen/output_base/item.rb +0 -110
  223. data/lib/rggen/output_base/item_factory.rb +0 -9
  224. data/lib/rggen/output_base/template_engine.rb +0 -24
  225. data/lib/rggen/rggen_home.rb +0 -3
  226. data/ral/LICENSE +0 -21
  227. data/ral/compile.f +0 -2
  228. data/ral/rggen_ral_block.svh +0 -83
  229. data/ral/rggen_ral_field.svh +0 -47
  230. data/ral/rggen_ral_field_rwl_rwe.svh +0 -158
  231. data/ral/rggen_ral_indirect_reg.svh +0 -193
  232. data/ral/rggen_ral_macros.svh +0 -27
  233. data/ral/rggen_ral_map.svh +0 -124
  234. data/ral/rggen_ral_pkg.sv +0 -15
  235. data/ral/rggen_ral_reg.svh +0 -88
  236. data/rtl/LICENSE +0 -21
  237. data/rtl/compile.f +0 -18
  238. data/rtl/rggen_address_decoder.sv +0 -23
  239. data/rtl/rggen_apb_if.sv +0 -41
  240. data/rtl/rggen_axi4lite_if.sv +0 -68
  241. data/rtl/rggen_bit_field_if.sv +0 -28
  242. data/rtl/rggen_bit_field_ro.sv +0 -9
  243. data/rtl/rggen_bit_field_rw.sv +0 -25
  244. data/rtl/rggen_bit_field_rwl_rwe.sv +0 -29
  245. data/rtl/rggen_bit_field_w01s_w01c.sv +0 -55
  246. data/rtl/rggen_bus_if.sv +0 -43
  247. data/rtl/rggen_bus_splitter.sv +0 -86
  248. data/rtl/rggen_default_register.sv +0 -15
  249. data/rtl/rggen_external_register.sv +0 -83
  250. data/rtl/rggen_host_if_apb.sv +0 -29
  251. data/rtl/rggen_host_if_axi4lite.sv +0 -161
  252. data/rtl/rggen_indirect_register.sv +0 -21
  253. data/rtl/rggen_register_base.sv +0 -57
  254. data/rtl/rggen_register_if.sv +0 -42
  255. data/rtl/rggen_rtl_pkg.sv +0 -23
  256. data/sample/LICENSE +0 -21
  257. data/sample/sample.csv +0 -21
  258. data/sample/sample.json +0 -6
  259. data/sample/sample.xls +0 -0
  260. data/sample/sample.xlsx +0 -0
  261. data/sample/sample.yaml +0 -4
  262. data/sample/sample_0.h +0 -17
  263. data/sample/sample_0.sv +0 -402
  264. data/sample/sample_0_ral_pkg.sv +0 -145
  265. data/sample/sample_1.h +0 -9
  266. data/sample/sample_1.sv +0 -128
  267. data/sample/sample_1_ral_pkg.sv +0 -56
  268. data/sample/sample_setup.rb +0 -24
  269. data/setup/default.rb +0 -14
@@ -1,18 +0,0 @@
1
- list_item :bit_field, :type, :reserved do
2
- register_map do
3
- reserved
4
- end
5
-
6
- rtl do
7
- generate_code_from_template :bit_field
8
-
9
- def default_value
10
- hex(0, width)
11
- end
12
- end
13
-
14
- ral do
15
- access :ro
16
- hdl_path { "g_#{bit_field.name}.u_bit_field.i_value" }
17
- end
18
- end
@@ -1,6 +0,0 @@
1
- rggen_bit_field_ro #(
2
- .WIDTH (<%= width %>)
3
- ) u_bit_field (
4
- .bit_field_if (<%= bit_field_sub_if %>),
5
- .i_value (<%= value_in[loop_variables] %>)
6
- );
@@ -1,22 +0,0 @@
1
- list_item :bit_field, :type, :ro do
2
- register_map do
3
- read_only
4
- end
5
-
6
- rtl do
7
- build do
8
- input :register_block, :value_in,
9
- name: "i_#{bit_field.name}",
10
- data_type: :logic,
11
- width: width,
12
- dimensions: dimensions,
13
- array_format: array_port_format
14
- end
15
-
16
- generate_code_from_template :bit_field
17
- end
18
-
19
- ral do
20
- hdl_path { "g_#{bit_field.name}.u_bit_field.i_value" }
21
- end
22
- end
@@ -1,9 +0,0 @@
1
- rggen_bit_field_rw #(
2
- .WIDTH (<%= width %>),
3
- .INITIAL_VALUE (<%= initial_value %>)
4
- ) u_bit_field (
5
- .clk (<%= register_block.clock %>),
6
- .rst_n (<%= register_block.reset %>),
7
- .bit_field_if (<%= bit_field_sub_if %>),
8
- .o_value (<%= value_out[loop_variables] %>)
9
- );
@@ -1,23 +0,0 @@
1
- list_item :bit_field, :type, :rw do
2
- register_map do
3
- read_write
4
- need_initial_value
5
- end
6
-
7
- rtl do
8
- build do
9
- output :register_block, :value_out,
10
- name: "o_#{bit_field.name}",
11
- data_type: :logic,
12
- width: width,
13
- dimensions: dimensions,
14
- array_format: array_port_format
15
- end
16
-
17
- generate_code_from_template :bit_field
18
-
19
- def initial_value
20
- hex(bit_field.initial_value, bit_field.width)
21
- end
22
- end
23
- end
@@ -1,11 +0,0 @@
1
- rggen_bit_field_rwl_rwe #(
2
- .MODE (rggen_rtl_pkg::<%= mode %>),
3
- .WIDTH (<%= width %>),
4
- .INITIAL_VALUE (<%= initial_value %>)
5
- ) u_bit_field (
6
- .clk (<%= register_block.clock %>),
7
- .rst_n (<%= register_block.reset %>),
8
- .i_lock_or_enable (<%= lock_or_enable %>),
9
- .bit_field_if (<%= bit_field_sub_if %>),
10
- .o_value (<%= value_out[loop_variables] %>)
11
- );
@@ -1,54 +0,0 @@
1
- list_item :bit_field, :type, [:rwl, :rwe] do
2
- register_map do
3
- read_write
4
- need_initial_value
5
- use_reference width: 1, required: true
6
- end
7
-
8
- rtl do
9
- build do
10
- output :register_block, :value_out,
11
- name: "o_#{bit_field.name}",
12
- data_type: :logic,
13
- width: width,
14
- dimensions: dimensions,
15
- array_format: array_port_format
16
- end
17
-
18
- generate_code_from_template :bit_field
19
-
20
- def mode
21
- {
22
- rwl: :RGGEN_LOCK_MODE, rwe: :RGGEN_ENABLE_MODE
23
- }[bit_field.type]
24
- end
25
-
26
- def initial_value
27
- hex(bit_field.initial_value, width)
28
- end
29
-
30
- def lock_or_enable
31
- mode_field.value
32
- end
33
-
34
- def mode_field
35
- register_block.bit_fields.find_by(name: bit_field.reference.name)
36
- end
37
- end
38
-
39
- ral do
40
- model_name { "#{class_name}#(#{mode_register}, #{mode_field})" }
41
-
42
- def class_name
43
- "rggen_ral_field_#{bit_field.type}"
44
- end
45
-
46
- def mode_register
47
- string(bit_field.reference.register.name)
48
- end
49
-
50
- def mode_field
51
- string(bit_field.reference.name)
52
- end
53
- end
54
- end
@@ -1,12 +0,0 @@
1
- rggen_bit_field_w01s_w01c #(
2
- .MODE (rggen_rtl_pkg::RGGEN_CLEAR_MODE),
3
- .SET_CLEAR_VALUE (<%= clear_value %>),
4
- .WIDTH (<%= width %>),
5
- .INITIAL_VALUE (<%= initial_value %>)
6
- ) u_bit_field (
7
- .clk (<%= register_block.clock %>),
8
- .rst_n (<%= register_block.reset %>),
9
- .i_set_or_clear (<%= set[loop_variables] %>),
10
- .bit_field_if (<%= bit_field_sub_if %>),
11
- .o_value (<%= value_out[loop_variables] %>)
12
- );
@@ -1,33 +0,0 @@
1
- list_item :bit_field, :type, [:w0c, :w1c] do
2
- register_map do
3
- read_write
4
- need_initial_value
5
- end
6
-
7
- rtl do
8
- build do
9
- input :register_block, :set,
10
- name: "i_#{name}_set",
11
- data_type: :logic,
12
- width: width,
13
- dimensions: dimensions,
14
- array_format: array_port_format
15
- output :register_block, :value_out,
16
- name: "o_#{name}",
17
- data_type: :logic,
18
- width: width,
19
- dimensions: dimensions,
20
- array_format: array_port_format
21
- end
22
-
23
- generate_code_from_template :bit_field
24
-
25
- def initial_value
26
- hex(bit_field.initial_value, width)
27
- end
28
-
29
- def clear_value
30
- { w0c: 0, w1c: 1 }[type]
31
- end
32
- end
33
- end
@@ -1,12 +0,0 @@
1
- rggen_bit_field_w01s_w01c #(
2
- .MODE (rggen_rtl_pkg::RGGEN_SET_MODE),
3
- .SET_CLEAR_VALUE (<%= set_value %>),
4
- .WIDTH (<%= width %>),
5
- .INITIAL_VALUE (<%= initial_value %>)
6
- ) u_bit_field (
7
- .clk (<%= register_block.clock %>),
8
- .rst_n (<%= register_block.reset %>),
9
- .i_set_or_clear (<%= clear[loop_variables] %>),
10
- .bit_field_if (<%= bit_field_sub_if %>),
11
- .o_value (<%= value_out[loop_variables] %>)
12
- );
@@ -1,33 +0,0 @@
1
- list_item :bit_field, :type, [:w0s, :w1s] do
2
- register_map do
3
- read_write
4
- need_initial_value
5
- end
6
-
7
- rtl do
8
- build do
9
- output :register_block, :value_out,
10
- name: "o_#{name}",
11
- data_type: :logic,
12
- width: width,
13
- dimensions: dimensions,
14
- array_format: array_port_format
15
- input :register_block, :clear,
16
- name: "i_#{name}_clear",
17
- data_type: :logic,
18
- width: width,
19
- dimensions: dimensions,
20
- array_format: array_port_format
21
- end
22
-
23
- generate_code_from_template :bit_field
24
-
25
- def initial_value
26
- hex(bit_field.initial_value, width)
27
- end
28
-
29
- def set_value
30
- { w0s: 0, w1s: 1 }[type]
31
- end
32
- end
33
- end
@@ -1,5 +0,0 @@
1
- list_item :bit_field, :type, :wo do
2
- register_map do
3
- write_only
4
- end
5
- end
@@ -1,17 +0,0 @@
1
- simple_item :global, :address_width do
2
- configuration do
3
- field :address_width, default: 32
4
-
5
- build do |width|
6
- begin
7
- @address_width = Integer(width)
8
- rescue
9
- error "invalid value for address width: #{width.inspect}"
10
- end
11
-
12
- unless @address_width.positive?
13
- error "zero/negative address width is not allowed: #{@address_width}"
14
- end
15
- end
16
- end
17
- end
@@ -1,15 +0,0 @@
1
- simple_item :global, :array_port_format do
2
- configuration do
3
- field :array_port_format, default: :unpacked
4
-
5
- input_pattern /(unpacked|vectored)/i
6
-
7
- build do |value|
8
- pattern_matched? || (
9
- error 'invalid array port format; ' \
10
- "should be 'unpacked' or 'vectored': #{value.inspect}"
11
- )
12
- @array_port_format = captures.first.downcase.to_sym
13
- end
14
- end
15
- end
@@ -1,20 +0,0 @@
1
- simple_item :global, :data_width do
2
- configuration do
3
- field :data_width, default: 32
4
- field :byte_width do
5
- data_width / 8
6
- end
7
-
8
- build do |width|
9
- begin
10
- @data_width = Integer(width)
11
- rescue
12
- error "invalid value for data width: #{width.inspect}"
13
- end
14
-
15
- unless @data_width >= 8 && @data_width.pow2?
16
- error "under 8/non-power of 2 data width is not allowed: #{@data_width}"
17
- end
18
- end
19
- end
20
- end
@@ -1,22 +0,0 @@
1
- simple_item :global, :unfold_sv_interface_port do
2
- configuration do
3
- field :unfold_sv_interface_port?, default: false
4
-
5
- build do |value|
6
- @unfold_sv_interface_port =
7
- case value
8
- when true, false
9
- value
10
- when /\Atrue|on|yes\z/i
11
- true
12
- when /\Afalse|nil|off|no\z/i
13
- false
14
- else
15
- message =
16
- 'non boolean value; should be true/false/nil/on/off/yes/no: ' \
17
- "#{value.inspect}"
18
- error message
19
- end
20
- end
21
- end
22
- end
@@ -1,7 +0,0 @@
1
- loader :configuration, :json do
2
- require 'json'
3
-
4
- def load_file(file)
5
- JSON.parse(File.read(file))
6
- end
7
- end
@@ -1,7 +0,0 @@
1
- loader :configuration, [:yml, :yaml] do
2
- require 'yaml'
3
-
4
- def load_file(file)
5
- YAML.load_file(file)
6
- end
7
- end
@@ -1,12 +0,0 @@
1
- loader :register_map, [:csv, :tsv] do
2
- def load_file(file)
3
- create_map(file) do |map|
4
- sheet_name = File.basename(file, '.*')
5
- map[sheet_name] = CSV.read(file, col_sep: separator(file))
6
- end
7
- end
8
-
9
- def separator(file)
10
- { 'csv' => ',', 'tsv' => "\t" }[File.ext(file).downcase]
11
- end
12
- end
@@ -1,17 +0,0 @@
1
- loader :register_map, :xls do
2
- def load_file(file)
3
- create_map(file) do |map|
4
- load_spreadsheet(file).each do |worksheet|
5
- map[worksheet.name] = worksheet.rows
6
- end
7
- end
8
- end
9
-
10
- def load_spreadsheet(file)
11
- Spreadsheet.open(file, 'rb') do |book|
12
- book.worksheets.select do |worksheet|
13
- worksheet.row_count > 0
14
- end
15
- end
16
- end
17
- end
@@ -1,21 +0,0 @@
1
- loader :register_map, [:xlsx, :ods] do
2
- def load_file(file)
3
- create_map(file) do |map|
4
- load_spreadsheet(file).each do |sheet_name, sheet|
5
- map[sheet_name] = sheet
6
- end
7
- end
8
- end
9
-
10
- def load_spreadsheet(file)
11
- sheets = {}
12
- Roo::Spreadsheet.open(file).each_with_pagename do |sheet_name, sheet|
13
- sheet.first_row && (sheets[sheet_name] = process_sheet(sheet))
14
- end
15
- sheets
16
- end
17
-
18
- def process_sheet(sheet)
19
- sheet.to_table(from_column: 1)
20
- end
21
- end
@@ -1,30 +0,0 @@
1
- simple_item :register, :array do
2
- register_map do
3
- field :array?
4
- field :dimensions
5
- field :count
6
-
7
- input_pattern %r{\[(#{number}(?:,#{number})*)\]},
8
- match_automatically: false
9
-
10
- build do |cell|
11
- @dimensions = parse_array_dimensions(cell)
12
- @array = @dimensions.not_nil?
13
- @count = (@dimensions && @dimensions.inject(&:*)) || 1
14
- if @dimensions && @dimensions.any?(&:zero?)
15
- error "0 is not allowed for array dimension: #{cell.inspect}"
16
- end
17
- end
18
-
19
- def parse_array_dimensions(cell)
20
- case
21
- when cell.nil? || cell.empty?
22
- nil
23
- when pattern_match(cell)
24
- captures.first.split(',').map(&method(:Integer))
25
- else
26
- error "invalid value for array dimension: #{cell.inspect}"
27
- end
28
- end
29
- end
30
- end