rggen 0.8.2 → 0.9.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (269) hide show
  1. checksums.yaml +4 -4
  2. data/CODE_OF_CONDUCT.md +54 -29
  3. data/{LICENSE.txt → LICENSE} +1 -1
  4. data/README.md +65 -56
  5. data/lib/rggen.rb +4 -63
  6. data/lib/rggen/built_in.rb +53 -0
  7. data/lib/rggen/built_in/bit_field/bit_assignment.rb +108 -0
  8. data/lib/rggen/built_in/bit_field/comment.rb +16 -0
  9. data/lib/rggen/built_in/bit_field/initial_value.rb +45 -0
  10. data/lib/rggen/built_in/bit_field/name.rb +39 -0
  11. data/lib/rggen/built_in/bit_field/reference.rb +100 -0
  12. data/lib/rggen/built_in/bit_field/sv_rtl_top.rb +87 -0
  13. data/lib/rggen/built_in/bit_field/type.rb +279 -0
  14. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.erb +15 -0
  15. data/lib/rggen/built_in/bit_field/type/rc_w0c_w1c.rb +68 -0
  16. data/lib/rggen/built_in/bit_field/type/reserved.erb +3 -0
  17. data/lib/rggen/built_in/bit_field/type/reserved.rb +16 -0
  18. data/lib/rggen/built_in/bit_field/type/ro.erb +6 -0
  19. data/lib/rggen/built_in/bit_field/type/ro.rb +34 -0
  20. data/lib/rggen/built_in/bit_field/type/rof.erb +6 -0
  21. data/lib/rggen/built_in/bit_field/type/rof.rb +17 -0
  22. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.erb +13 -0
  23. data/lib/rggen/built_in/bit_field/type/rs_w0s_w1s.rb +52 -0
  24. data/lib/rggen/built_in/bit_field/type/rw_wo.erb +9 -0
  25. data/lib/rggen/built_in/bit_field/type/rw_wo.rb +33 -0
  26. data/lib/rggen/built_in/bit_field/type/rwe_rwl.erb +14 -0
  27. data/lib/rggen/built_in/bit_field/type/rwe_rwl.rb +39 -0
  28. data/lib/rggen/built_in/global/address_width.rb +32 -0
  29. data/lib/rggen/built_in/global/array_port_format.rb +19 -0
  30. data/lib/rggen/built_in/global/bus_width.rb +33 -0
  31. data/lib/rggen/built_in/global/fold_sv_interface_port.rb +24 -0
  32. data/lib/rggen/built_in/register/name.rb +34 -0
  33. data/lib/rggen/built_in/register/offset_address.rb +96 -0
  34. data/lib/rggen/built_in/register/size.rb +49 -0
  35. data/lib/rggen/built_in/register/sv_rtl_top.rb +82 -0
  36. data/lib/rggen/built_in/register/type.rb +374 -0
  37. data/lib/rggen/built_in/register/type/default_sv_ral.erb +8 -0
  38. data/lib/rggen/built_in/register/type/default_sv_rtl.erb +15 -0
  39. data/lib/rggen/built_in/register/type/external.erb +11 -0
  40. data/lib/rggen/built_in/register/type/external.rb +141 -0
  41. data/lib/rggen/built_in/register/type/indirect.rb +329 -0
  42. data/lib/rggen/built_in/register/type/indirect_sv_ral.erb +13 -0
  43. data/lib/rggen/built_in/register/type/indirect_sv_rtl.erb +17 -0
  44. data/lib/rggen/built_in/register_block/byte_size.rb +59 -0
  45. data/lib/rggen/built_in/register_block/name.rb +36 -0
  46. data/lib/rggen/built_in/register_block/protocol.rb +71 -0
  47. data/lib/rggen/built_in/register_block/protocol/apb.erb +10 -0
  48. data/lib/rggen/built_in/register_block/protocol/apb.rb +113 -0
  49. data/lib/rggen/built_in/register_block/protocol/axi4lite.erb +11 -0
  50. data/lib/rggen/built_in/register_block/protocol/axi4lite.rb +167 -0
  51. data/lib/rggen/built_in/register_block/sv_ral_block_model.erb +11 -0
  52. data/lib/rggen/built_in/register_block/sv_ral_package.rb +58 -0
  53. data/lib/rggen/built_in/register_block/sv_rtl_macros.erb +9 -0
  54. data/lib/rggen/built_in/register_block/sv_rtl_top.rb +87 -0
  55. data/lib/rggen/built_in/version.rb +7 -0
  56. data/lib/rggen/default_setup_file.rb +6 -0
  57. data/lib/rggen/setup/default.rb +26 -0
  58. data/lib/rggen/version.rb +5 -4
  59. data/sample/block_0.rb +85 -0
  60. data/sample/block_0.sv +601 -0
  61. data/sample/block_0.xlsx +0 -0
  62. data/sample/block_0.yml +94 -0
  63. data/sample/block_0_ral_pkg.sv +174 -0
  64. data/sample/block_1.rb +22 -0
  65. data/sample/block_1.sv +136 -0
  66. data/sample/block_1.xlsx +0 -0
  67. data/sample/block_1.yml +26 -0
  68. data/sample/block_1_ral_pkg.sv +68 -0
  69. data/sample/config.json +5 -0
  70. data/sample/config.yml +3 -0
  71. metadata +96 -270
  72. data/bin/rggen +0 -6
  73. data/c_header/LICENSE +0 -21
  74. data/c_header/rggen.h +0 -17
  75. data/lib/rggen/base/component.rb +0 -31
  76. data/lib/rggen/base/component_factory.rb +0 -53
  77. data/lib/rggen/base/hierarchical_accessors.rb +0 -87
  78. data/lib/rggen/base/hierarchical_item_accessors.rb +0 -79
  79. data/lib/rggen/base/internal_struct.rb +0 -28
  80. data/lib/rggen/base/item.rb +0 -35
  81. data/lib/rggen/base/item_factory.rb +0 -25
  82. data/lib/rggen/builder/builder.rb +0 -69
  83. data/lib/rggen/builder/category.rb +0 -63
  84. data/lib/rggen/builder/component_entry.rb +0 -50
  85. data/lib/rggen/builder/component_store.rb +0 -42
  86. data/lib/rggen/builder/input_component_store.rb +0 -25
  87. data/lib/rggen/builder/item_store.rb +0 -89
  88. data/lib/rggen/builder/list_item_entry.rb +0 -81
  89. data/lib/rggen/builder/output_component_store.rb +0 -13
  90. data/lib/rggen/builder/simple_item_entry.rb +0 -33
  91. data/lib/rggen/builtins.rb +0 -55
  92. data/lib/rggen/builtins/bit_field/bit_assignment.rb +0 -39
  93. data/lib/rggen/builtins/bit_field/field_model.rb +0 -38
  94. data/lib/rggen/builtins/bit_field/initial_value.rb +0 -36
  95. data/lib/rggen/builtins/bit_field/name.rb +0 -26
  96. data/lib/rggen/builtins/bit_field/reference.rb +0 -40
  97. data/lib/rggen/builtins/bit_field/rtl_top.rb +0 -11
  98. data/lib/rggen/builtins/bit_field/type.rb +0 -244
  99. data/lib/rggen/builtins/bit_field/types/reserved.erb +0 -6
  100. data/lib/rggen/builtins/bit_field/types/reserved.rb +0 -18
  101. data/lib/rggen/builtins/bit_field/types/ro.erb +0 -6
  102. data/lib/rggen/builtins/bit_field/types/ro.rb +0 -22
  103. data/lib/rggen/builtins/bit_field/types/rw.erb +0 -9
  104. data/lib/rggen/builtins/bit_field/types/rw.rb +0 -23
  105. data/lib/rggen/builtins/bit_field/types/rwl_rwe.erb +0 -11
  106. data/lib/rggen/builtins/bit_field/types/rwl_rwe.rb +0 -54
  107. data/lib/rggen/builtins/bit_field/types/w0c_w1c.erb +0 -12
  108. data/lib/rggen/builtins/bit_field/types/w0c_w1c.rb +0 -33
  109. data/lib/rggen/builtins/bit_field/types/w0s_w1s.erb +0 -12
  110. data/lib/rggen/builtins/bit_field/types/w0s_w1s.rb +0 -33
  111. data/lib/rggen/builtins/bit_field/types/wo.rb +0 -5
  112. data/lib/rggen/builtins/global/address_width.rb +0 -17
  113. data/lib/rggen/builtins/global/array_port_format.rb +0 -15
  114. data/lib/rggen/builtins/global/data_width.rb +0 -20
  115. data/lib/rggen/builtins/global/unfold_sv_interface_port.rb +0 -22
  116. data/lib/rggen/builtins/loaders/configuration/json_loader.rb +0 -7
  117. data/lib/rggen/builtins/loaders/configuration/yaml_loader.rb +0 -7
  118. data/lib/rggen/builtins/loaders/register_map/csv_loader.rb +0 -12
  119. data/lib/rggen/builtins/loaders/register_map/xls_loader.rb +0 -17
  120. data/lib/rggen/builtins/loaders/register_map/xlsx_ods_loader.rb +0 -21
  121. data/lib/rggen/builtins/register/array.rb +0 -30
  122. data/lib/rggen/builtins/register/constructor.rb +0 -17
  123. data/lib/rggen/builtins/register/field_model_creator.rb +0 -14
  124. data/lib/rggen/builtins/register/indirect_index_configurator.rb +0 -54
  125. data/lib/rggen/builtins/register/name.rb +0 -26
  126. data/lib/rggen/builtins/register/offset_address.rb +0 -61
  127. data/lib/rggen/builtins/register/reg_model.rb +0 -107
  128. data/lib/rggen/builtins/register/rtl_top.rb +0 -68
  129. data/lib/rggen/builtins/register/sub_block_model.rb +0 -34
  130. data/lib/rggen/builtins/register/type.rb +0 -283
  131. data/lib/rggen/builtins/register/types/default.erb +0 -10
  132. data/lib/rggen/builtins/register/types/external.erb +0 -11
  133. data/lib/rggen/builtins/register/types/external.rb +0 -77
  134. data/lib/rggen/builtins/register/types/indirect.erb +0 -13
  135. data/lib/rggen/builtins/register/types/indirect.rb +0 -175
  136. data/lib/rggen/builtins/register/uniqueness_validator.rb +0 -51
  137. data/lib/rggen/builtins/register_block/address_struct.rb +0 -56
  138. data/lib/rggen/builtins/register_block/base_address.rb +0 -64
  139. data/lib/rggen/builtins/register_block/block_model.rb +0 -20
  140. data/lib/rggen/builtins/register_block/byte_size.rb +0 -37
  141. data/lib/rggen/builtins/register_block/c_header_file.rb +0 -15
  142. data/lib/rggen/builtins/register_block/clock_reset.rb +0 -8
  143. data/lib/rggen/builtins/register_block/constructor.rb +0 -14
  144. data/lib/rggen/builtins/register_block/default_map_creator.rb +0 -39
  145. data/lib/rggen/builtins/register_block/host_if.rb +0 -64
  146. data/lib/rggen/builtins/register_block/host_ifs/apb.erb +0 -10
  147. data/lib/rggen/builtins/register_block/host_ifs/apb.rb +0 -64
  148. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.erb +0 -11
  149. data/lib/rggen/builtins/register_block/host_ifs/axi4lite.rb +0 -93
  150. data/lib/rggen/builtins/register_block/name.rb +0 -26
  151. data/lib/rggen/builtins/register_block/ral_package.rb +0 -24
  152. data/lib/rggen/builtins/register_block/rtl_top.rb +0 -38
  153. data/lib/rggen/builtins/register_block/sub_model_creator.rb +0 -14
  154. data/lib/rggen/commands.rb +0 -23
  155. data/lib/rggen/core_components.rb +0 -54
  156. data/lib/rggen/core_components/c_header/item.rb +0 -8
  157. data/lib/rggen/core_components/c_header/setup.rb +0 -19
  158. data/lib/rggen/core_components/c_utility.rb +0 -19
  159. data/lib/rggen/core_components/c_utility/data_structure_definition.rb +0 -60
  160. data/lib/rggen/core_components/c_utility/source_file.rb +0 -10
  161. data/lib/rggen/core_components/c_utility/variable_declaration.rb +0 -35
  162. data/lib/rggen/core_components/code_utility.rb +0 -56
  163. data/lib/rggen/core_components/code_utility/code_block.rb +0 -72
  164. data/lib/rggen/core_components/code_utility/line.rb +0 -28
  165. data/lib/rggen/core_components/code_utility/source_file.rb +0 -97
  166. data/lib/rggen/core_components/configuration/configuration_factory.rb +0 -23
  167. data/lib/rggen/core_components/configuration/item.rb +0 -11
  168. data/lib/rggen/core_components/configuration/item_factory.rb +0 -20
  169. data/lib/rggen/core_components/configuration/raise_error.rb +0 -11
  170. data/lib/rggen/core_components/configuration/setup.rb +0 -14
  171. data/lib/rggen/core_components/erb_engine.rb +0 -15
  172. data/lib/rggen/core_components/ral/component.rb +0 -24
  173. data/lib/rggen/core_components/ral/item.rb +0 -59
  174. data/lib/rggen/core_components/ral/setup.rb +0 -19
  175. data/lib/rggen/core_components/register_map/bit_field_factory.rb +0 -11
  176. data/lib/rggen/core_components/register_map/component.rb +0 -15
  177. data/lib/rggen/core_components/register_map/component_factory.rb +0 -9
  178. data/lib/rggen/core_components/register_map/generic_map.rb +0 -80
  179. data/lib/rggen/core_components/register_map/item.rb +0 -26
  180. data/lib/rggen/core_components/register_map/item_factory.rb +0 -26
  181. data/lib/rggen/core_components/register_map/loader.rb +0 -11
  182. data/lib/rggen/core_components/register_map/raise_error.rb +0 -17
  183. data/lib/rggen/core_components/register_map/register_block_factory.rb +0 -29
  184. data/lib/rggen/core_components/register_map/register_factory.rb +0 -18
  185. data/lib/rggen/core_components/register_map/register_map_factory.rb +0 -18
  186. data/lib/rggen/core_components/register_map/setup.rb +0 -33
  187. data/lib/rggen/core_components/rtl/component.rb +0 -24
  188. data/lib/rggen/core_components/rtl/item.rb +0 -82
  189. data/lib/rggen/core_components/rtl/setup.rb +0 -19
  190. data/lib/rggen/core_components/verilog_utility.rb +0 -88
  191. data/lib/rggen/core_components/verilog_utility/class_definition.rb +0 -56
  192. data/lib/rggen/core_components/verilog_utility/identifier.rb +0 -78
  193. data/lib/rggen/core_components/verilog_utility/interface_instance.rb +0 -37
  194. data/lib/rggen/core_components/verilog_utility/interface_port.rb +0 -33
  195. data/lib/rggen/core_components/verilog_utility/local_scope.rb +0 -75
  196. data/lib/rggen/core_components/verilog_utility/module_definition.rb +0 -82
  197. data/lib/rggen/core_components/verilog_utility/package_definition.rb +0 -57
  198. data/lib/rggen/core_components/verilog_utility/source_file.rb +0 -10
  199. data/lib/rggen/core_components/verilog_utility/structure_definition.rb +0 -51
  200. data/lib/rggen/core_components/verilog_utility/subroutine_definition.rb +0 -41
  201. data/lib/rggen/core_components/verilog_utility/variable.rb +0 -115
  202. data/lib/rggen/core_extensions/array.rb +0 -9
  203. data/lib/rggen/core_extensions/facets.rb +0 -22
  204. data/lib/rggen/core_extensions/forwardable.rb +0 -49
  205. data/lib/rggen/core_extensions/integer.rb +0 -5
  206. data/lib/rggen/core_extensions/math.rb +0 -7
  207. data/lib/rggen/core_extensions/roo.rb +0 -17
  208. data/lib/rggen/exceptions.rb +0 -28
  209. data/lib/rggen/generator.rb +0 -67
  210. data/lib/rggen/input_base/component.rb +0 -28
  211. data/lib/rggen/input_base/component_factory.rb +0 -58
  212. data/lib/rggen/input_base/item.rb +0 -171
  213. data/lib/rggen/input_base/item_factory.rb +0 -13
  214. data/lib/rggen/input_base/loader.rb +0 -23
  215. data/lib/rggen/input_base/regexp_patterns.rb +0 -29
  216. data/lib/rggen/option_switches.rb +0 -60
  217. data/lib/rggen/options.rb +0 -97
  218. data/lib/rggen/output_base/code_generator.rb +0 -36
  219. data/lib/rggen/output_base/component.rb +0 -78
  220. data/lib/rggen/output_base/component_factory.rb +0 -32
  221. data/lib/rggen/output_base/file_writer.rb +0 -36
  222. data/lib/rggen/output_base/item.rb +0 -110
  223. data/lib/rggen/output_base/item_factory.rb +0 -9
  224. data/lib/rggen/output_base/template_engine.rb +0 -24
  225. data/lib/rggen/rggen_home.rb +0 -3
  226. data/ral/LICENSE +0 -21
  227. data/ral/compile.f +0 -2
  228. data/ral/rggen_ral_block.svh +0 -83
  229. data/ral/rggen_ral_field.svh +0 -47
  230. data/ral/rggen_ral_field_rwl_rwe.svh +0 -158
  231. data/ral/rggen_ral_indirect_reg.svh +0 -193
  232. data/ral/rggen_ral_macros.svh +0 -27
  233. data/ral/rggen_ral_map.svh +0 -124
  234. data/ral/rggen_ral_pkg.sv +0 -15
  235. data/ral/rggen_ral_reg.svh +0 -88
  236. data/rtl/LICENSE +0 -21
  237. data/rtl/compile.f +0 -18
  238. data/rtl/rggen_address_decoder.sv +0 -23
  239. data/rtl/rggen_apb_if.sv +0 -41
  240. data/rtl/rggen_axi4lite_if.sv +0 -68
  241. data/rtl/rggen_bit_field_if.sv +0 -28
  242. data/rtl/rggen_bit_field_ro.sv +0 -9
  243. data/rtl/rggen_bit_field_rw.sv +0 -25
  244. data/rtl/rggen_bit_field_rwl_rwe.sv +0 -29
  245. data/rtl/rggen_bit_field_w01s_w01c.sv +0 -55
  246. data/rtl/rggen_bus_if.sv +0 -43
  247. data/rtl/rggen_bus_splitter.sv +0 -86
  248. data/rtl/rggen_default_register.sv +0 -15
  249. data/rtl/rggen_external_register.sv +0 -83
  250. data/rtl/rggen_host_if_apb.sv +0 -29
  251. data/rtl/rggen_host_if_axi4lite.sv +0 -161
  252. data/rtl/rggen_indirect_register.sv +0 -21
  253. data/rtl/rggen_register_base.sv +0 -57
  254. data/rtl/rggen_register_if.sv +0 -42
  255. data/rtl/rggen_rtl_pkg.sv +0 -23
  256. data/sample/LICENSE +0 -21
  257. data/sample/sample.csv +0 -21
  258. data/sample/sample.json +0 -6
  259. data/sample/sample.xls +0 -0
  260. data/sample/sample.xlsx +0 -0
  261. data/sample/sample.yaml +0 -4
  262. data/sample/sample_0.h +0 -17
  263. data/sample/sample_0.sv +0 -402
  264. data/sample/sample_0_ral_pkg.sv +0 -145
  265. data/sample/sample_1.h +0 -9
  266. data/sample/sample_1.sv +0 -128
  267. data/sample/sample_1_ral_pkg.sv +0 -56
  268. data/sample/sample_setup.rb +0 -24
  269. data/setup/default.rb +0 -14
@@ -1,9 +0,0 @@
1
- module RgGen
2
- module OutputBase
3
- class ItemFactory < Base::ItemFactory
4
- def create(owner, *args)
5
- create_item(owner, *args)
6
- end
7
- end
8
- end
9
- end
@@ -1,24 +0,0 @@
1
- module RgGen
2
- module OutputBase
3
- class TemplateEngine
4
- include Singleton
5
-
6
- def process_template(context, path = nil, call_info = nil)
7
- path ||= extract_template_path(call_info || caller[0])
8
- render(context, templates[path])
9
- end
10
-
11
- private
12
-
13
- def templates
14
- @templates ||= Hash.new do |t, p|
15
- t[p] = parse_template(p)
16
- end
17
- end
18
-
19
- def extract_template_path(call_info)
20
- File.ext(call_info[/^(.+?):\d/, 1], file_extension.to_s)
21
- end
22
- end
23
- end
24
- end
@@ -1,3 +0,0 @@
1
- module RgGen
2
- RGGEN_HOME = File.realpath(File.join(__dir__, '../..'))
3
- end
data/ral/LICENSE DELETED
@@ -1,21 +0,0 @@
1
- MIT License
2
-
3
- Copyright (c) 2017 Taichi Ishitani
4
-
5
- Permission is hereby granted, free of charge, to any person obtaining a copy
6
- of this software and associated documentation files (the "Software"), to deal
7
- in the Software without restriction, including without limitation the rights
8
- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9
- copies of the Software, and to permit persons to whom the Software is
10
- furnished to do so, subject to the following conditions:
11
-
12
- The above copyright notice and this permission notice shall be included in all
13
- copies or substantial portions of the Software.
14
-
15
- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16
- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17
- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18
- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19
- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20
- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
21
- SOFTWARE.
data/ral/compile.f DELETED
@@ -1,2 +0,0 @@
1
- +incdir+${RGGEN_HOME}/ral
2
- ${RGGEN_HOME}/ral/rggen_ral_pkg.sv
@@ -1,83 +0,0 @@
1
- `ifndef __RGGEN_RAL_BLOCK_SVH__
2
- `define __RGGEN_RAL_BLOCK_SVH__
3
- class rggen_ral_block extends uvm_reg_block;
4
- protected uvm_object cfg;
5
-
6
- extern function new(string name= "rggen_ral_block", int has_coverage = UVM_NO_COVERAGE);
7
-
8
- extern function void configure(uvm_object cfg, uvm_reg_block parent = null, string hdl_path = "");
9
-
10
- extern virtual function uvm_reg_map create_map(
11
- string name,
12
- uvm_reg_addr_t base_addr,
13
- int unsigned n_bytes,
14
- uvm_endianness_e endian,
15
- bit byte_addressing = 1
16
- );
17
- extern virtual function void build();
18
- extern virtual function void lock_model();
19
-
20
- extern protected virtual function void set_cfg(uvm_object cfg);
21
- extern protected virtual function uvm_reg_map create_default_map();
22
- extern protected virtual function void create_sub_models();
23
- endclass
24
-
25
- function rggen_ral_block::new(string name, int has_coverage);
26
- super.new(name, has_coverage);
27
- endfunction
28
-
29
- function void rggen_ral_block::configure(uvm_object cfg, uvm_reg_block parent, string hdl_path);
30
- set_cfg(cfg);
31
- super.configure(parent, hdl_path);
32
- endfunction
33
-
34
- function void rggen_ral_block::build();
35
- if (default_map == null) begin
36
- default_map = create_default_map();
37
- end
38
- create_sub_models();
39
- endfunction
40
-
41
- function uvm_reg_map rggen_ral_block::create_map(
42
- string name,
43
- uvm_reg_addr_t base_addr,
44
- int unsigned n_bytes,
45
- uvm_endianness_e endian,
46
- bit byte_addressing
47
- );
48
- uvm_factory f = uvm_factory::get();
49
- f.set_inst_override_by_type(uvm_reg_map::get_type(), rggen_ral_map::get_type(), {get_full_name(), ".", name});
50
- return super.create_map(name, base_addr, n_bytes, endian, byte_addressing);
51
- endfunction
52
-
53
- function void rggen_ral_block::lock_model();
54
- uvm_reg_block parent_block = get_parent();
55
-
56
- if (is_locked()) begin
57
- return;
58
- end
59
-
60
- super.lock_model();
61
-
62
- if (parent_block == null) begin
63
- uvm_reg_map maps[$];
64
- get_maps(maps);
65
- foreach (maps[i]) begin
66
- rggen_ral_map rggen_map;
67
- if ($cast(rggen_map, maps[i])) begin
68
- rggen_map.Xinit_indirect_reg_address_mapX();
69
- end
70
- end
71
- end
72
- endfunction
73
-
74
- function void rggen_ral_block::set_cfg(uvm_object cfg);
75
- this.cfg = cfg;
76
- endfunction
77
-
78
- function uvm_reg_map rggen_ral_block::create_default_map();
79
- endfunction
80
-
81
- function void rggen_ral_block::create_sub_models();
82
- endfunction
83
- `endif
@@ -1,47 +0,0 @@
1
- `ifndef __RGGEN_RAL_FIELD_SVH__
2
- `define __RGGEN_RAL_FIELD_SVH__
3
- class rggen_ral_field extends uvm_reg_field;
4
- protected uvm_object cfg;
5
-
6
- extern function new(string name = "rggen_ral_field");
7
-
8
- extern function void configure(
9
- uvm_object cfg,
10
- uvm_reg parent,
11
- int unsigned size,
12
- int unsigned lsb_pos,
13
- string access,
14
- bit volatile,
15
- uvm_reg_data_t reset,
16
- bit has_reset,
17
- bit is_rand,
18
- bit individually_accessible
19
- );
20
-
21
- extern protected virtual function void set_cfg(uvm_object cfg);
22
- endclass
23
-
24
- function rggen_ral_field::new(string name);
25
- super.new(name);
26
- endfunction
27
-
28
- function void rggen_ral_field::configure(
29
- uvm_object cfg,
30
- uvm_reg parent,
31
- int unsigned size,
32
- int unsigned lsb_pos,
33
- string access,
34
- bit volatile,
35
- uvm_reg_data_t reset,
36
- bit has_reset,
37
- bit is_rand,
38
- bit individually_accessible
39
- );
40
- set_cfg(cfg);
41
- super.configure(parent, size, lsb_pos, access, volatile, reset, has_reset, is_rand, individually_accessible);
42
- endfunction
43
-
44
- function void rggen_ral_field::set_cfg(uvm_object cfg);
45
- this.cfg = cfg;
46
- endfunction
47
- `endif
@@ -1,158 +0,0 @@
1
- `ifndef __RGGEN_RAL_FIELD_RWL_RWE_SVH__
2
- `define __RGGEN_RAL_FIELD_RWL_RWE_SVH__
3
- class rggen_ral_field_rwl_rwe_cbs extends uvm_reg_cbs;
4
- local uvm_reg_field this_field;
5
- local bit lock_mode;
6
- local string mode_reg_name;
7
- local string mode_field_name;
8
- local uvm_reg_field mode_field;
9
-
10
- extern function new(string name, uvm_reg_field this_field, bit lock_mode, string mode_reg_name, string mode_field_name);
11
-
12
- extern task pre_write(uvm_reg_item rw);
13
- extern function void post_predict(
14
- input uvm_reg_field fld,
15
- input uvm_reg_data_t previous,
16
- inout uvm_reg_data_t value,
17
- input uvm_predict_e kind,
18
- input uvm_path_e path,
19
- input uvm_reg_map map
20
- );
21
-
22
- extern local function void get_mode_field();
23
- extern local function bit not_wriable();
24
- endclass
25
-
26
- function rggen_ral_field_rwl_rwe_cbs::new(
27
- string name,
28
- uvm_reg_field this_field,
29
- bit lock_mode,
30
- string mode_reg_name,
31
- string mode_field_name
32
- );
33
- super.new(name);
34
- this.this_field = this_field;
35
- this.lock_mode = lock_mode;
36
- this.mode_reg_name = mode_reg_name;
37
- this.mode_field_name = mode_field_name;
38
- endfunction
39
-
40
- task rggen_ral_field_rwl_rwe_cbs::pre_write(uvm_reg_item rw);
41
- if ((rw.kind == UVM_WRITE) && (rw.path == UVM_BACKDOOR) && not_wriable()) begin
42
- rw.value[0] = this_field.get_mirrored_value();
43
- end
44
- endtask
45
-
46
- function void rggen_ral_field_rwl_rwe_cbs::post_predict(
47
- input uvm_reg_field fld,
48
- input uvm_reg_data_t previous,
49
- inout uvm_reg_data_t value,
50
- input uvm_predict_e kind,
51
- input uvm_path_e path,
52
- input uvm_reg_map map
53
- );
54
- if ((kind == UVM_PREDICT_WRITE) && not_wriable()) begin
55
- value = previous;
56
- end
57
- endfunction
58
-
59
- function void rggen_ral_field_rwl_rwe_cbs::get_mode_field();
60
- uvm_reg parent_reg;
61
- uvm_reg_block parent_block;
62
- uvm_reg mode_reg;
63
-
64
- parent_reg = this_field.get_parent();
65
- parent_block = parent_reg.get_parent();
66
-
67
- mode_reg = parent_block.get_reg_by_name(mode_reg_name);
68
- if (mode_reg == null) begin
69
- `uvm_fatal("rggen_ral_field_rwl_rwe_cbs", $sformatf("Unable to locate the mode register: %s", mode_reg_name))
70
- return;
71
- end
72
-
73
- mode_field = mode_reg.get_field_by_name(mode_field_name);
74
- if (mode_field == null) begin
75
- `uvm_fatal("rggen_ral_field_rwl_rwe_cbs", $sformatf("Unable to locate the mode field: %s", mode_field_name))
76
- return;
77
- end
78
- endfunction
79
-
80
- function bit rggen_ral_field_rwl_rwe_cbs::not_wriable();
81
- if (mode_field == null) begin
82
- get_mode_field();
83
- end
84
- if (lock_mode) begin
85
- return (mode_field.get() == 1) ? 1 : 0;
86
- end
87
- else begin
88
- return (mode_field.get() == 0) ? 1 : 0;
89
- end
90
- endfunction
91
-
92
- class rggen_ral_field_rwl_rwe extends rggen_ral_field;
93
- local static bit rwl_defined = define_access("RWL");
94
- local static bit rwe_defined = define_access("RWE");
95
-
96
- protected rggen_ral_field_rwl_rwe_cbs cbs;
97
-
98
- extern function new(string name, bit lock_mode, string mode_reg_name, string mode_field_name);
99
-
100
- extern function void configure(
101
- uvm_object cfg,
102
- uvm_reg parent,
103
- int unsigned size,
104
- int unsigned lsb_pos,
105
- string access,
106
- bit volatile,
107
- uvm_reg_data_t reset,
108
- bit has_reset,
109
- bit is_rand,
110
- bit individually_accessible
111
- );
112
- endclass
113
-
114
- function rggen_ral_field_rwl_rwe::new(string name, bit lock_mode, string mode_reg_name, string mode_field_name);
115
- string cbs_name;
116
- super.new(name);
117
- cbs_name = (lock_mode) ? "rwl_cbs" : "rwe_cbs";
118
- cbs = new(cbs_name, this, lock_mode, mode_reg_name, mode_field_name);
119
- endfunction
120
-
121
- function void rggen_ral_field_rwl_rwe::configure(
122
- uvm_object cfg,
123
- uvm_reg parent,
124
- int unsigned size,
125
- int unsigned lsb_pos,
126
- string access,
127
- bit volatile,
128
- uvm_reg_data_t reset,
129
- bit has_reset,
130
- bit is_rand,
131
- bit individually_accessible
132
- );
133
- super.configure(cfg, parent, size, lsb_pos, access, volatile, reset, has_reset, is_rand, individually_accessible);
134
- uvm_reg_field_cb::add(this, cbs);
135
- endfunction
136
-
137
- class rggen_ral_field_rwl #(
138
- string MODE_REG_NAME = "",
139
- string MODE_FIELD_NAME = ""
140
- ) extends rggen_ral_field_rwl_rwe;
141
- extern function new(string name = "rggen_ral_field_rwl");
142
- endclass
143
-
144
- function rggen_ral_field_rwl::new(string name);
145
- super.new(name, 1, MODE_REG_NAME, MODE_FIELD_NAME);
146
- endfunction
147
-
148
- class rggen_ral_field_rwe #(
149
- string MODE_REG_NAME = "",
150
- string MODE_FIELD_NAME = ""
151
- ) extends rggen_ral_field_rwl_rwe;
152
- extern function new(string name = "rggen_ral_field_rwe");
153
- endclass
154
-
155
- function rggen_ral_field_rwe::new(string name);
156
- super.new(name, 0, MODE_REG_NAME, MODE_FIELD_NAME);
157
- endfunction
158
- `endif
@@ -1,193 +0,0 @@
1
- `ifndef __RGGEN_RAL_INDIRECT_REG_SVH__
2
- `define __RGGEN_RAL_INDIRECT_REG_SVH__
3
- typedef class rggen_ral_indirect_reg_index;
4
- typedef class rggen_ral_indirect_reg_ftdr_seq;
5
-
6
- class rggen_ral_indirect_reg extends rggen_ral_reg;
7
- protected rggen_ral_indirect_reg_index indirect_reg_indexes[$];
8
-
9
- extern function new(string name, int unsigned n_bits, int has_coverage);
10
-
11
- extern function void configure(
12
- uvm_object cfg,
13
- uvm_reg_block blk_parent,
14
- uvm_reg_file regfile_parent,
15
- int indexes[$],
16
- string hdl_path = ""
17
- );
18
-
19
- extern virtual function uvm_reg_frontdoor create_frontdoor();
20
- extern virtual function bit is_active();
21
-
22
- extern protected virtual function void configure_indirect_indexes();
23
- extern protected function void set_indirect_index(string reg_name, string field_name, uvm_reg_data_t value);
24
- endclass
25
-
26
- function rggen_ral_indirect_reg::new(string name, int unsigned n_bits, int has_coverage);
27
- super.new(name, n_bits, has_coverage);
28
- endfunction
29
-
30
- function void rggen_ral_indirect_reg::configure(
31
- uvm_object cfg,
32
- uvm_reg_block blk_parent,
33
- uvm_reg_file regfile_parent,
34
- int indexes[$],
35
- string hdl_path
36
- );
37
- super.configure(cfg, blk_parent, regfile_parent, indexes, hdl_path);
38
- configure_indirect_indexes();
39
- endfunction
40
-
41
- function uvm_reg_frontdoor rggen_ral_indirect_reg::create_frontdoor();
42
- rggen_ral_indirect_reg_ftdr_seq fd = new(indirect_reg_indexes);
43
- return fd;
44
- endfunction
45
-
46
- function bit rggen_ral_indirect_reg::is_active();
47
- foreach (indirect_reg_indexes[i]) begin
48
- if (!indirect_reg_indexes[i].is_matched()) begin
49
- return 0;
50
- end
51
- end
52
- return 1;
53
- endfunction
54
-
55
- function void rggen_ral_indirect_reg::configure_indirect_indexes();
56
- endfunction
57
-
58
- function void rggen_ral_indirect_reg::set_indirect_index(string reg_name, string field_name, uvm_reg_data_t value);
59
- rggen_ral_indirect_reg_index indirect_reg_index;
60
- indirect_reg_index = new(this, reg_name, field_name, value);
61
- indirect_reg_indexes.push_back(indirect_reg_index);
62
- endfunction
63
-
64
- class rggen_ral_indirect_reg_index;
65
- protected rggen_ral_indirect_reg indirect_reg;
66
- protected string reg_name;
67
- protected string field_name;
68
- protected uvm_reg_data_t value;
69
- protected uvm_reg index_reg;
70
- protected uvm_reg_field index_field;
71
-
72
- extern function new(
73
- rggen_ral_indirect_reg indirect_reg,
74
- string reg_name,
75
- string field_name,
76
- uvm_reg_data_t value
77
- );
78
-
79
- extern virtual function bit is_matched();
80
- extern virtual function void set(string fname = "", int lineno = 0);
81
- extern virtual function uvm_reg get_index_reg();
82
- extern virtual function uvm_reg_field get_index_field();
83
- endclass
84
-
85
- function rggen_ral_indirect_reg_index::new(
86
- rggen_ral_indirect_reg indirect_reg,
87
- string reg_name,
88
- string field_name,
89
- uvm_reg_data_t value
90
- );
91
- this.indirect_reg = indirect_reg;
92
- this.reg_name = reg_name;
93
- this.field_name = field_name;
94
- this.value = value;
95
- endfunction
96
-
97
- function bit rggen_ral_indirect_reg_index::is_matched();
98
- void'(get_index_field());
99
- return (index_field.value == value) ? 1 : 0;
100
- endfunction
101
-
102
- function void rggen_ral_indirect_reg_index::set(string fname = "", int lineno = 0);
103
- void'(get_index_field());
104
- index_field.set(value, fname, lineno);
105
- endfunction
106
-
107
- function uvm_reg rggen_ral_indirect_reg_index::get_index_reg();
108
- if (index_reg == null) begin
109
- uvm_reg_block parent_block;
110
- parent_block = indirect_reg.get_parent();
111
- index_reg = parent_block.get_reg_by_name(reg_name);
112
- if (index_reg == null) begin
113
- `uvm_fatal("rggen_ral_indirect_reg_index", $sformatf("Unable to locate index register: %s", reg_name))
114
- return null;
115
- end
116
- end
117
- return index_reg;
118
- endfunction
119
-
120
- function uvm_reg_field rggen_ral_indirect_reg_index::get_index_field();
121
- if (index_field == null) begin
122
- void'(get_index_reg());
123
- index_field = index_reg.get_field_by_name(field_name);
124
- if (index_field == null) begin
125
- `uvm_fatal("rggen_ral_indirect_reg_index", $sformatf("Unable to locate index field: %s", field_name))
126
- return null;
127
- end
128
- end
129
- return index_field;
130
- endfunction
131
-
132
- class rggen_ral_indirect_reg_ftdr_seq extends uvm_reg_frontdoor;
133
- protected rggen_ral_indirect_reg_index indirect_indexes[$];
134
- protected bit index_regs[uvm_reg];
135
-
136
- extern function new(ref rggen_ral_indirect_reg_index indirect_indexes[$]);
137
-
138
- extern virtual task body();
139
- extern task update_index_regs(ref uvm_status_e status);
140
- endclass
141
-
142
- function rggen_ral_indirect_reg_ftdr_seq::new(ref rggen_ral_indirect_reg_index indirect_indexes[$]);
143
- super.new("rggen_ral_indirect_reg_ftdr_seq");
144
- foreach (indirect_indexes[i]) begin
145
- this.indirect_indexes.push_back(indirect_indexes[i]);
146
- end
147
- endfunction
148
-
149
- task rggen_ral_indirect_reg_ftdr_seq::body();
150
- uvm_status_e status;
151
- update_index_regs(status);
152
- if (status == UVM_NOT_OK) begin
153
- `uvm_warning("rggen_ral_indirect_reg_ftdr_seq", "Updating index registers failed")
154
- rw_info.status = status;
155
- return;
156
- end
157
- if (rw_info.kind == UVM_WRITE) begin
158
- rw_info.local_map.do_write(rw_info);
159
- end
160
- else begin
161
- rw_info.local_map.do_read(rw_info);
162
- end
163
- endtask
164
-
165
- task rggen_ral_indirect_reg_ftdr_seq::update_index_regs(ref uvm_status_e status);
166
- if (index_regs.size() == 0) begin
167
- foreach (indirect_indexes[i]) begin
168
- uvm_reg index_reg = indirect_indexes[i].get_index_reg();
169
- if (!index_regs.exists(index_reg)) begin
170
- index_regs[index_reg] = 1;
171
- end
172
- end
173
- end
174
- foreach (indirect_indexes[i]) begin
175
- indirect_indexes[i].set(rw_info.fname, rw_info.lineno);
176
- end
177
- foreach (index_regs[index_reg]) begin
178
- index_reg.update(
179
- status,
180
- rw_info.path,
181
- rw_info.map,
182
- rw_info.parent,
183
- rw_info.prior,
184
- rw_info.extension,
185
- rw_info.fname,
186
- rw_info.lineno
187
- );
188
- if (status == UVM_NOT_OK) begin
189
- return;
190
- end
191
- end
192
- endtask
193
- `endif