axi_tdl 0.0.2

Sign up to get free protection for your applications and to get access to all the features.
Files changed (1189) hide show
  1. checksums.yaml +7 -0
  2. data/.gitignore +8 -0
  3. data/CODE_OF_CONDUCT.md +74 -0
  4. data/Gemfile +6 -0
  5. data/Gemfile.lock +43 -0
  6. data/LICENSE +504 -0
  7. data/README.md +311 -0
  8. data/Rakefile +18 -0
  9. data/axi_tdl.gemspec +43 -0
  10. data/bin/console +14 -0
  11. data/bin/setup +8 -0
  12. data/lib/.rspec +1 -0
  13. data/lib/axi/AXI4/axi4_combin_wr_rd_batch.sv +59 -0
  14. data/lib/axi/AXI4/axi4_direct.sv +137 -0
  15. data/lib/axi/AXI4/axi4_direct_A1.sv +229 -0
  16. data/lib/axi/AXI4/axi4_direct_B1.sv +74 -0
  17. data/lib/axi/AXI4/axi4_direct_verb.sv +79 -0
  18. data/lib/axi/AXI4/axi4_direct_verc.sv +146 -0
  19. data/lib/axi/AXI4/axi4_dpram_cache.rb +106 -0
  20. data/lib/axi/AXI4/axi4_dpram_cache.sv +112 -0
  21. data/lib/axi/AXI4/axi4_long_to_axi4_wide.sv +85 -0
  22. data/lib/axi/AXI4/axi4_long_to_axi4_wide_A1.sv +96 -0
  23. data/lib/axi/AXI4/axi4_long_to_axi4_wide_track.sv +118 -0
  24. data/lib/axi/AXI4/axi4_long_to_axi4_wide_verb.sv +131 -0
  25. data/lib/axi/AXI4/axi4_pipe/axi4_pipe.sv +44 -0
  26. data/lib/axi/AXI4/axi4_pipe/axi4_pipe_verb.sv +45 -0
  27. data/lib/axi/AXI4/axi4_pipe/axi4_rd_pipe.sv +111 -0
  28. data/lib/axi/AXI4/axi4_pipe/axi4_rd_pipe_verb.sv +113 -0
  29. data/lib/axi/AXI4/axi4_pipe/axi4_wr_pipe.sv +142 -0
  30. data/lib/axi/AXI4/axi4_pipe/axi4_wr_pipe_verb.sv +146 -0
  31. data/lib/axi/AXI4/axi4_rd_auxiliary_batch_gen.sv +134 -0
  32. data/lib/axi/AXI4/axi4_rd_auxiliary_gen.sv +89 -0
  33. data/lib/axi/AXI4/axi4_rd_auxiliary_gen_A1.sv +109 -0
  34. data/lib/axi/AXI4/axi4_rd_burst_track.sv +164 -0
  35. data/lib/axi/AXI4/axi4_wr_aux_bind_data.sv +121 -0
  36. data/lib/axi/AXI4/axi4_wr_auxiliary_batch_gen.sv +140 -0
  37. data/lib/axi/AXI4/axi4_wr_auxiliary_gen.sv +102 -0
  38. data/lib/axi/AXI4/axi4_wr_auxiliary_gen_without_resp.sv +91 -0
  39. data/lib/axi/AXI4/axi4_wr_burst_track.sv +146 -0
  40. data/lib/axi/AXI4/axi_stream_add_addr_len.sv +50 -0
  41. data/lib/axi/AXI4/axi_stream_to_axi4_wr.sv +61 -0
  42. data/lib/axi/AXI4/axi_stream_to_axi4_wr_verb.sv.bak +123 -0
  43. data/lib/axi/AXI4/axis_to_axi4_wr.rb +149 -0
  44. data/lib/axi/AXI4/axis_to_axi4_wr.sv +141 -0
  45. data/lib/axi/AXI4/full_axi4_to_axis.sv +188 -0
  46. data/lib/axi/AXI4/full_axi4_to_axis_partition_wr_rd.sv +208 -0
  47. data/lib/axi/AXI4/id_record.sv +55 -0
  48. data/lib/axi/AXI4/idata_pool_axi4.sv +110 -0
  49. data/lib/axi/AXI4/interconnect/AXI4_interconnect_M2S.sv +291 -0
  50. data/lib/axi/AXI4/interconnect/axi4_mix_interconnect_M2S.sv +72 -0
  51. data/lib/axi/AXI4/interconnect/axi4_rd_interconnect_M2S.sv +148 -0
  52. data/lib/axi/AXI4/interconnect/axi4_rd_mix_interconnect_M2S.sv +255 -0
  53. data/lib/axi/AXI4/interconnect/axi4_rd_mix_interconnect_M2S.sv.bak +255 -0
  54. data/lib/axi/AXI4/interconnect/axi4_rd_mix_interconnect_M2S_A1.sv +286 -0
  55. data/lib/axi/AXI4/interconnect/axi4_rd_mix_interconnect_M2S_A2.sv +281 -0
  56. data/lib/axi/AXI4/interconnect/axi4_wr_interconnect_M2S.sv +235 -0
  57. data/lib/axi/AXI4/interconnect/axi4_wr_interconnect_M2S_A1.sv +283 -0
  58. data/lib/axi/AXI4/interconnect/axi4_wr_mix_interconnect_M2S.sv +32 -0
  59. data/lib/axi/AXI4/long_axi4_to_wide_axi4.sv.bak +251 -0
  60. data/lib/axi/AXI4/odata_pool_axi4.sv +134 -0
  61. data/lib/axi/AXI4/odata_pool_axi4_A1.sv +165 -0
  62. data/lib/axi/AXI4/odata_pool_axi4_A2.sv +159 -0
  63. data/lib/axi/AXI4/odata_pool_axi4_A3.sv +183 -0
  64. data/lib/axi/AXI4/packet_fifo/axi4_packet_fifo.sv +61 -0
  65. data/lib/axi/AXI4/packet_fifo/axi4_rd_packet_fifo.sv +282 -0
  66. data/lib/axi/AXI4/packet_fifo/axi4_wr_packet_fifo.sv +181 -0
  67. data/lib/axi/AXI4/packet_merge/axi4_merge.sv +60 -0
  68. data/lib/axi/AXI4/packet_merge/axi4_merge_rd.sv +279 -0
  69. data/lib/axi/AXI4/packet_merge/axi4_merge_wr.sv +267 -0
  70. data/lib/axi/AXI4/packet_partition/axi4_partition.sv +36 -0
  71. data/lib/axi/AXI4/packet_partition/axi4_partition_OD.sv +66 -0
  72. data/lib/axi/AXI4/packet_partition/axi4_partition_rd.sv +211 -0
  73. data/lib/axi/AXI4/packet_partition/axi4_partition_rd_OD.sv +322 -0
  74. data/lib/axi/AXI4/packet_partition/axi4_partition_rd_verb.rb +80 -0
  75. data/lib/axi/AXI4/packet_partition/axi4_partition_rd_verb.sv +93 -0
  76. data/lib/axi/AXI4/packet_partition/axi4_partition_wr.sv +239 -0
  77. data/lib/axi/AXI4/packet_partition/axi4_partition_wr_OD.sv +302 -0
  78. data/lib/axi/AXI4/packet_partition/data_inf_partition.rb +293 -0
  79. data/lib/axi/AXI4/packet_partition/data_inf_partition.sv +307 -0
  80. data/lib/axi/AXI4/vcs_axi4_array_comptable.sv +35 -0
  81. data/lib/axi/AXI4/vcs_axi4_comptable.sv +330 -0
  82. data/lib/axi/AXI4/wide_axis_to_axi4_wr.rb +149 -0
  83. data/lib/axi/AXI4/wide_axis_to_axi4_wr.sv +140 -0
  84. data/lib/axi/AXI4/width_convert/axi4_data_combin_aflag_pipe.sv +239 -0
  85. data/lib/axi/AXI4/width_convert/axi4_data_combin_aflag_pipe_A1.sv +204 -0
  86. data/lib/axi/AXI4/width_convert/axi4_data_convert.sv +312 -0
  87. data/lib/axi/AXI4/width_convert/axi4_data_convert_A1.sv +217 -0
  88. data/lib/axi/AXI4/width_convert/data_combin.sv +366 -0
  89. data/lib/axi/AXI4/width_convert/data_combin.sv.bak +290 -0
  90. data/lib/axi/AXI4/width_convert/data_destruct.sv +304 -0
  91. data/lib/axi/AXI4/width_convert/feed_check.sv +94 -0
  92. data/lib/axi/AXI4/width_convert/len_convert.sv.bak +61 -0
  93. data/lib/axi/AXI4/width_convert/odd_width_convert.sv +229 -0
  94. data/lib/axi/AXI4/width_convert/odd_width_convert_verb.sv +105 -0
  95. data/lib/axi/AXI4/width_convert/simple_data_pipe.sv +40 -0
  96. data/lib/axi/AXI4/width_convert/simple_data_pipe_slaver.sv +33 -0
  97. data/lib/axi/AXI4/width_convert/width_combin.sv +113 -0
  98. data/lib/axi/AXI4/width_convert/width_convert.sv +87 -0
  99. data/lib/axi/AXI4/width_convert/width_convert_verb.sv +249 -0
  100. data/lib/axi/AXI4/width_convert/width_destruct.sv +206 -0
  101. data/lib/axi/AXI4/width_convert/width_destruct_A1.sv +251 -0
  102. data/lib/axi/AXI_BFM/AXI_BFM_PKG.sv +1039 -0
  103. data/lib/axi/AXI_BFM/Data_C_BFM_PKG.sv +97 -0
  104. data/lib/axi/AXI_BFM/axi4_error_chk.sv +298 -0
  105. data/lib/axi/AXI_BFM/axi4_illegal_bfm_pkg.sv +607 -0
  106. data/lib/axi/AXI_BFM/axi_lite_master.sv +102 -0
  107. data/lib/axi/AXI_BFM/axi_lite_tb.sv +23 -0
  108. data/lib/axi/AXI_BFM/axi_master.sv +185 -0
  109. data/lib/axi/AXI_BFM/axi_mirror.sv +266 -0
  110. data/lib/axi/AXI_BFM/axi_mm_tb.sv +134 -0
  111. data/lib/axi/AXI_BFM/axi_slaver.sv.bak +340 -0
  112. data/lib/axi/AXI_BFM/axistreambfm.sv +117 -0
  113. data/lib/axi/AXI_Lite/axi4_to_lite.sv +36 -0
  114. data/lib/axi/AXI_Lite/axi_lite_configure.sv +356 -0
  115. data/lib/axi/AXI_Lite/axi_lite_configure_inf2.sv +365 -0
  116. data/lib/axi/AXI_Lite/axi_lite_configure_verb.sv.bck +370 -0
  117. data/lib/axi/AXI_Lite/axi_lite_interconnect_M2S.sv +437 -0
  118. data/lib/axi/AXI_Lite/axi_lite_interconnect_S2M.sv +359 -0
  119. data/lib/axi/AXI_Lite/axi_lite_interconnect_S2M.sv.bak +359 -0
  120. data/lib/axi/AXI_Lite/axi_lite_master_empty.sv +30 -0
  121. data/lib/axi/AXI_Lite/axi_lite_slaver_empty.sv +27 -0
  122. data/lib/axi/AXI_Lite/axil_direct.sv +52 -0
  123. data/lib/axi/AXI_Lite/common_configure_reg_interface/common_configure_reg_interface.sv +230 -0
  124. data/lib/axi/AXI_Lite/common_configure_reg_interface/common_configure_reg_interface.sv.bak +109 -0
  125. data/lib/axi/AXI_Lite/common_configure_reg_interface/jtag_to_axilite_wrapper.sv +56 -0
  126. data/lib/axi/AXI_Lite/gen_axi_lite_ctrl.sv +515 -0
  127. data/lib/axi/AXI_Lite/gen_axi_lite_ctrl_C1.sv +369 -0
  128. data/lib/axi/AXI_Lite/gen_axi_lite_ctrl_verb.sv +365 -0
  129. data/lib/axi/AXI_Lite/gen_axi_lite_ctrl_verc.sv +401 -0
  130. data/lib/axi/AXI_Lite/wr_lite_to_axis.sv +141 -0
  131. data/lib/axi/AXI_Lite/wr_lite_to_axis.sv.bak +141 -0
  132. data/lib/axi/AXI_stream/axi_stream_interconnect_M2S.sv +76 -0
  133. data/lib/axi/AXI_stream/axi_stream_interconnect_M2S_A1.sv +77 -0
  134. data/lib/axi/AXI_stream/axi_stream_interconnect_M2S_A2.sv +71 -0
  135. data/lib/axi/AXI_stream/axi_stream_interconnect_M2S_bind_tuser.sv +72 -0
  136. data/lib/axi/AXI_stream/axi_stream_interconnect_M2S_noaddr.sv +151 -0
  137. data/lib/axi/AXI_stream/axi_stream_interconnect_M2S_with_addr.sv +87 -0
  138. data/lib/axi/AXI_stream/axi_stream_interconnect_S2M.sv +65 -0
  139. data/lib/axi/AXI_stream/axi_stream_interconnect_S2M_auto.sv +50 -0
  140. data/lib/axi/AXI_stream/axi_stream_interconnect_S2M_with_info.sv +64 -0
  141. data/lib/axi/AXI_stream/axi_stream_interconnect_S2M_with_keep.sv.bak +64 -0
  142. data/lib/axi/AXI_stream/axi_stream_packet_fifo_with_info.sv.bak +49 -0
  143. data/lib/axi/AXI_stream/axi_stream_partition.sv +147 -0
  144. data/lib/axi/AXI_stream/axi_stream_partition_A1.sv +63 -0
  145. data/lib/axi/AXI_stream/axi_stream_planer.sv +51 -0
  146. data/lib/axi/AXI_stream/axi_stream_split_channel.rb +56 -0
  147. data/lib/axi/AXI_stream/axi_stream_split_channel.sv +149 -0
  148. data/lib/axi/AXI_stream/axi_streams_combin.sv +151 -0
  149. data/lib/axi/AXI_stream/axi_streams_combin_A1.sv +179 -0
  150. data/lib/axi/AXI_stream/axi_streams_scaler.sv +171 -0
  151. data/lib/axi/AXI_stream/axi_streams_scaler_A1.sv +179 -0
  152. data/lib/axi/AXI_stream/axis_append.sv +79 -0
  153. data/lib/axi/AXI_stream/axis_append_A1.sv +82 -0
  154. data/lib/axi/AXI_stream/axis_base_pipe.sv +184 -0
  155. data/lib/axi/AXI_stream/axis_combin_with_fifo.sv +69 -0
  156. data/lib/axi/AXI_stream/axis_connect_pipe.sv +86 -0
  157. data/lib/axi/AXI_stream/axis_connect_pipe_A1.sv.bak +170 -0
  158. data/lib/axi/AXI_stream/axis_connect_pipe_left_shift.sv +64 -0
  159. data/lib/axi/AXI_stream/axis_connect_pipe_right_shift.sv +64 -0
  160. data/lib/axi/AXI_stream/axis_connect_pipe_right_shift_verb.sv +70 -0
  161. data/lib/axi/AXI_stream/axis_connect_pipe_with_info.sv +93 -0
  162. data/lib/axi/AXI_stream/axis_direct.sv +55 -0
  163. data/lib/axi/AXI_stream/axis_direct_A1.sv +81 -0
  164. data/lib/axi/AXI_stream/axis_filter.sv +38 -0
  165. data/lib/axi/AXI_stream/axis_full_to_data_c.sv +26 -0
  166. data/lib/axi/AXI_stream/axis_head_cut.sv +67 -0
  167. data/lib/axi/AXI_stream/axis_head_cut_verb.sv +60 -0
  168. data/lib/axi/AXI_stream/axis_head_cut_verc.rb +175 -0
  169. data/lib/axi/AXI_stream/axis_head_cut_verc.sv +245 -0
  170. data/lib/axi/AXI_stream/axis_inct_s2m_with_flag.sv +84 -0
  171. data/lib/axi/AXI_stream/axis_insert_copy.rb +59 -0
  172. data/lib/axi/AXI_stream/axis_insert_copy.sv +66 -0
  173. data/lib/axi/AXI_stream/axis_intc_M2S_with_addr_inf.sv +114 -0
  174. data/lib/axi/AXI_stream/axis_intc_S2M_with_addr_inf.sv +85 -0
  175. data/lib/axi/AXI_stream/axis_interconnect_S2M_pipe.sv +75 -0
  176. data/lib/axi/AXI_stream/axis_length_cut.sv +64 -0
  177. data/lib/axi/AXI_stream/axis_length_fill.sv +194 -0
  178. data/lib/axi/AXI_stream/axis_length_split.sv +86 -0
  179. data/lib/axi/AXI_stream/axis_length_split_with_addr.sv +127 -0
  180. data/lib/axi/AXI_stream/axis_length_split_writh_user.sv +87 -0
  181. data/lib/axi/AXI_stream/axis_link_trigger.sv +81 -0
  182. data/lib/axi/AXI_stream/axis_master_empty.sv +26 -0
  183. data/lib/axi/AXI_stream/axis_mirror_to_master.sv +126 -0
  184. data/lib/axi/AXI_stream/axis_mirrors.sv +60 -0
  185. data/lib/axi/AXI_stream/axis_orthogonal.sv +66 -0
  186. data/lib/axi/AXI_stream/axis_ram_buffer.sv +118 -0
  187. data/lib/axi/AXI_stream/axis_rom_contect.rb +97 -0
  188. data/lib/axi/AXI_stream/axis_rom_contect.sv +110 -0
  189. data/lib/axi/AXI_stream/axis_rom_contect_sim.rb +102 -0
  190. data/lib/axi/AXI_stream/axis_rom_contect_sim.sv +113 -0
  191. data/lib/axi/AXI_stream/axis_slaver_empty.sv +22 -0
  192. data/lib/axi/AXI_stream/axis_slaver_pipe.sv +84 -0
  193. data/lib/axi/AXI_stream/axis_slaver_pipe_A1.sv +54 -0
  194. data/lib/axi/AXI_stream/axis_slaver_vector_empty.sv +27 -0
  195. data/lib/axi/AXI_stream/axis_split_channel_verb.rb +42 -0
  196. data/lib/axi/AXI_stream/axis_split_channel_verb.sv +62 -0
  197. data/lib/axi/AXI_stream/axis_to_axi4_or_lite.rb +127 -0
  198. data/lib/axi/AXI_stream/axis_to_axi4_or_lite.sv +153 -0
  199. data/lib/axi/AXI_stream/axis_to_data_inf.sv +34 -0
  200. data/lib/axi/AXI_stream/axis_to_lite_rd.sv +81 -0
  201. data/lib/axi/AXI_stream/axis_to_lite_wr.sv +71 -0
  202. data/lib/axi/AXI_stream/axis_uncompress.sv +147 -0
  203. data/lib/axi/AXI_stream/axis_uncompress_A1.sv +150 -0
  204. data/lib/axi/AXI_stream/axis_uncompress_verb.rb +32 -0
  205. data/lib/axi/AXI_stream/axis_uncompress_verb.sv +54 -0
  206. data/lib/axi/AXI_stream/axis_valve.sv +29 -0
  207. data/lib/axi/AXI_stream/axis_valve_with_pipe.sv +76 -0
  208. data/lib/axi/AXI_stream/axis_vector_master_empty.rb +11 -0
  209. data/lib/axi/AXI_stream/axis_vector_master_empty.sv +35 -0
  210. data/lib/axi/AXI_stream/axis_vector_slaver_empty.rb +11 -0
  211. data/lib/axi/AXI_stream/axis_vector_slaver_empty.sv +35 -0
  212. data/lib/axi/AXI_stream/check_stream_crc.sv +28 -0
  213. data/lib/axi/AXI_stream/data_c_to_axis_full.sv +23 -0
  214. data/lib/axi/AXI_stream/data_to_axis_inf.sv +103 -0
  215. data/lib/axi/AXI_stream/data_to_axis_inf_A1.sv +28 -0
  216. data/lib/axi/AXI_stream/data_width/axis_width_combin.sv +204 -0
  217. data/lib/axi/AXI_stream/data_width/axis_width_combin_A1.sv +220 -0
  218. data/lib/axi/AXI_stream/data_width/axis_width_convert.sv +49 -0
  219. data/lib/axi/AXI_stream/data_width/axis_width_destruct.sv +82 -0
  220. data/lib/axi/AXI_stream/data_width/axis_width_destruct_A1.sv +86 -0
  221. data/lib/axi/AXI_stream/ex_status/axis_ex_status.sv +97 -0
  222. data/lib/axi/AXI_stream/gen_big_field_table.sv +170 -0
  223. data/lib/axi/AXI_stream/gen_common_frame_table.sv +382 -0
  224. data/lib/axi/AXI_stream/gen_common_frame_table_bind_tuser.sv +428 -0
  225. data/lib/axi/AXI_stream/gen_origin_axis.sv +116 -0
  226. data/lib/axi/AXI_stream/gen_origin_axis_A1.sv +129 -0
  227. data/lib/axi/AXI_stream/gen_origin_axis_A2.sv +162 -0
  228. data/lib/axi/AXI_stream/gen_simple_axis.sv +164 -0
  229. data/lib/axi/AXI_stream/packet_fifo/axi_stream_long_fifo.sv +132 -0
  230. data/lib/axi/AXI_stream/packet_fifo/axi_stream_long_fifo_verb.sv +140 -0
  231. data/lib/axi/AXI_stream/packet_fifo/axi_stream_packet_fifo.sv +125 -0
  232. data/lib/axi/AXI_stream/packet_fifo/axi_stream_packet_fifo_B1.sv +142 -0
  233. data/lib/axi/AXI_stream/packet_fifo/axi_stream_packet_fifo_B1E.sv +198 -0
  234. data/lib/axi/AXI_stream/packet_fifo/axi_stream_packet_fifo_verb.sv +120 -0
  235. data/lib/axi/AXI_stream/packet_fifo/axi_stream_packet_fifo_with_info.sv +49 -0
  236. data/lib/axi/AXI_stream/packet_fifo/axi_stream_packet_long_fifo.sv +197 -0
  237. data/lib/axi/AXI_stream/packet_fifo/axi_stream_wide_fifo.sv +141 -0
  238. data/lib/axi/AXI_stream/packet_fifo/axis_pkt_fifo_filter_keep.sv +164 -0
  239. data/lib/axi/AXI_stream/packet_fifo/axis_pkt_fifo_filter_keep_A1.sv +166 -0
  240. data/lib/axi/AXI_stream/parse_big_field_table.sv +164 -0
  241. data/lib/axi/AXI_stream/parse_big_field_table_A1.sv +162 -0
  242. data/lib/axi/AXI_stream/parse_big_field_table_A2.sv +165 -0
  243. data/lib/axi/AXI_stream/parse_big_field_table_verb.sv +118 -0
  244. data/lib/axi/AXI_stream/parse_common_frame_table.sv +202 -0
  245. data/lib/axi/AXI_stream/parse_common_frame_table_A1.sv +521 -0
  246. data/lib/axi/AXI_stream/parse_common_frame_table_A2.sv +561 -0
  247. data/lib/axi/AXI_stream/stream_cache/axi_stream_cache.sv +46 -0
  248. data/lib/axi/AXI_stream/stream_cache/axi_stream_cache_35bit.sv +122 -0
  249. data/lib/axi/AXI_stream/stream_cache/axi_stream_cache_36_71bit.sv +71 -0
  250. data/lib/axi/AXI_stream/stream_cache/axi_stream_cache_72_95bit.sv +96 -0
  251. data/lib/axi/AXI_stream/stream_cache/axi_stream_cache_72_95bit_with_keep.sv +99 -0
  252. data/lib/axi/AXI_stream/stream_cache/axi_stream_cache_96_143bit.sv +119 -0
  253. data/lib/axi/AXI_stream/stream_cache/axi_stream_cache_A1.sv +49 -0
  254. data/lib/axi/AXI_stream/stream_cache/axi_stream_cache_B1.sv +82 -0
  255. data/lib/axi/AXI_stream/stream_cache/axi_stream_cache_mirror.sv +44 -0
  256. data/lib/axi/AXI_stream/stream_cache/axi_stream_cache_verb.sv +58 -0
  257. data/lib/axi/AXI_stream/stream_cache/axi_stream_long_cache.sv +55 -0
  258. data/lib/axi/AXI_stream/stream_crc.sv +67 -0
  259. data/lib/axi/AXI_stream/vcs_axis_comptable.sv +73 -0
  260. data/lib/axi/LICENSE +504 -0
  261. data/lib/axi/ReadME.md +6 -0
  262. data/lib/axi/SIM/tb_axi4_partition_20201105.sv +115 -0
  263. data/lib/axi/SIM/tb_axis_bfm_0504.sv +61 -0
  264. data/lib/axi/SIM/tb_axis_partitiom_0929.sv +102 -0
  265. data/lib/axi/SIM/tb_axis_s2m_pipe_1023.sv +163 -0
  266. data/lib/axi/SIM/tb_axis_to_axi4_0925.sv +107 -0
  267. data/lib/axi/SIM/tb_data_c_m2s_inf_20200114.sv +222 -0
  268. data/lib/axi/SIM/tb_data_c_m2s_inf_20201103.sv +245 -0
  269. data/lib/axi/SIM/tb_data_c_pipe_inf_20180417.sv +114 -0
  270. data/lib/axi/SIM/tb_wide_axis_to_axi4_wr.sv +81 -0
  271. data/lib/axi/axi4_to_xilinx_ddr_native/axi4_to_native_for_ddr_ip.sv +589 -0
  272. data/lib/axi/axi4_to_xilinx_ddr_native/axi4_to_native_for_ddr_ip_C1.sv +69 -0
  273. data/lib/axi/axi4_to_xilinx_ddr_native/axi4_to_native_for_ddr_ip_verb.sv +388 -0
  274. data/lib/axi/axi4_to_xilinx_ddr_native/axi4_to_native_for_ddr_ip_verc.sv +70 -0
  275. data/lib/axi/axi4_to_xilinx_ddr_native/ddr3_ip_native_to_axi4.sv +194 -0
  276. data/lib/axi/axi4_to_xilinx_ddr_native/ddr3_ip_wrapper_sim.sv +99 -0
  277. data/lib/axi/axi4_to_xilinx_ddr_native/ddr_axi4_to_axis.sv +188 -0
  278. data/lib/axi/axi4_to_xilinx_ddr_native/ddr_native_fifo.sv +156 -0
  279. data/lib/axi/axi4_to_xilinx_ddr_native/ddr_native_fifo_A1.sv +180 -0
  280. data/lib/axi/axi4_to_xilinx_ddr_native/ddr_native_fifo_verb.sv +269 -0
  281. data/lib/axi/axi4_to_xilinx_ddr_native/model_ddr_ip_app.sv +303 -0
  282. data/lib/axi/axi4_to_xilinx_ddr_native/tb_ddr3_ip_wrapper_sim.sv +154 -0
  283. data/lib/axi/cfg.yml +15 -0
  284. data/lib/axi/common/ClockSameDomain.sv +128 -0
  285. data/lib/axi/common/common_ram_sim_wrapper.rb +66 -0
  286. data/lib/axi/common/common_ram_sim_wrapper.sv +75 -0
  287. data/lib/axi/common/common_ram_wrapper.rb +71 -0
  288. data/lib/axi/common/common_ram_wrapper.sv +82 -0
  289. data/lib/axi/common/data_c_interface_dram.rb +90 -0
  290. data/lib/axi/common/data_c_interface_dram.sv +106 -0
  291. data/lib/axi/common/mem_format.coe +60 -0
  292. data/lib/axi/common/pipe_vld.sv +45 -0
  293. data/lib/axi/common/test_write_mem.sv +22 -0
  294. data/lib/axi/common/xilinx_hdl_dpram.sv +142 -0
  295. data/lib/axi/common/xilinx_hdl_dpram_sim.sv +176 -0
  296. data/lib/axi/common_fifo/common_fifo.sv +165 -0
  297. data/lib/axi/common_fifo/common_stack.sv +56 -0
  298. data/lib/axi/common_fifo/independent_clock_fifo.sv +200 -0
  299. data/lib/axi/common_fifo/independent_clock_fifo_a1.sv +202 -0
  300. data/lib/axi/common_fifo/independent_stack.sv +85 -0
  301. data/lib/axi/data_interface/data_connect_pipe.sv +228 -0
  302. data/lib/axi/data_interface/data_inf_A2B.sv +21 -0
  303. data/lib/axi/data_interface/data_inf_B2A.sv +21 -0
  304. data/lib/axi/data_interface/data_inf_c/data_bind.sv +74 -0
  305. data/lib/axi/data_interface/data_inf_c/data_c_cache.sv +49 -0
  306. data/lib/axi/data_interface/data_inf_c/data_c_direct.sv +51 -0
  307. data/lib/axi/data_interface/data_inf_c/data_c_direct_mirror.sv +28 -0
  308. data/lib/axi/data_interface/data_inf_c/data_c_intc_M2S_force_robin.rb.bak +268 -0
  309. data/lib/axi/data_interface/data_inf_c/data_c_intc_M2S_force_robin.sv +301 -0
  310. data/lib/axi/data_interface/data_inf_c/data_c_pipe_force_vld.sv +57 -0
  311. data/lib/axi/data_interface/data_inf_c/data_c_pipe_force_vld_bind_data.sv +81 -0
  312. data/lib/axi/data_interface/data_inf_c/data_c_pipe_inf.sv +130 -0
  313. data/lib/axi/data_interface/data_inf_c/data_c_pipe_inf_A1.sv +135 -0
  314. data/lib/axi/data_interface/data_inf_c/data_c_pipe_inf_left_shift.sv +158 -0
  315. data/lib/axi/data_interface/data_inf_c/data_c_pipe_inf_right_shift.sv +155 -0
  316. data/lib/axi/data_interface/data_inf_c/data_c_pipe_inf_right_shift_verb.sv +174 -0
  317. data/lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_C1.sv +296 -0
  318. data/lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_C1_with_id.sv +58 -0
  319. data/lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_best_last.sv +319 -0
  320. data/lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_best_robin.sv +293 -0
  321. data/lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_robin.sv +296 -0
  322. data/lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_robin_with_id.sv +46 -0
  323. data/lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_verc.sv +405 -0
  324. data/lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_verc_with_addr.sv +226 -0
  325. data/lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_verc_with_id.sv +54 -0
  326. data/lib/axi/data_interface/data_inf_c/data_c_pipe_latency.sv +68 -0
  327. data/lib/axi/data_interface/data_inf_c/data_c_scaler.sv +326 -0
  328. data/lib/axi/data_interface/data_inf_c/data_c_scaler_A1.sv +333 -0
  329. data/lib/axi/data_interface/data_inf_c/data_c_tmp_cache.sv +44 -0
  330. data/lib/axi/data_interface/data_inf_c/data_condition_mirror.sv +64 -0
  331. data/lib/axi/data_interface/data_inf_c/data_condition_valve.sv +53 -0
  332. data/lib/axi/data_interface/data_inf_c/data_connect_pipe_inf.sv +73 -0
  333. data/lib/axi/data_interface/data_inf_c/data_inf_c_M2S_with_addr_and_id.sv +66 -0
  334. data/lib/axi/data_interface/data_inf_c/data_inf_c_intc_M2S_with_id.sv +67 -0
  335. data/lib/axi/data_interface/data_inf_c/data_inf_c_intc_S2M.sv +70 -0
  336. data/lib/axi/data_interface/data_inf_c/data_inf_c_intc_S2M_A1.sv +72 -0
  337. data/lib/axi/data_interface/data_inf_c/data_inf_c_intc_S2M_with_lazy.sv +49 -0
  338. data/lib/axi/data_interface/data_inf_c/data_inf_c_interconnect_M2S.sv +50 -0
  339. data/lib/axi/data_interface/data_inf_c/data_inf_c_pipe_condition.sv +33 -0
  340. data/lib/axi/data_interface/data_inf_c/data_inf_c_planer.sv +50 -0
  341. data/lib/axi/data_interface/data_inf_c/data_inf_c_planer_A1.sv +53 -0
  342. data/lib/axi/data_interface/data_inf_c/data_intc_M2S_force_robin.sv +31 -0
  343. data/lib/axi/data_interface/data_inf_c/data_mirrors.sv +108 -0
  344. data/lib/axi/data_interface/data_inf_c/data_mirrors_verb.sv.bak +101 -0
  345. data/lib/axi/data_interface/data_inf_c/data_uncompress.sv +150 -0
  346. data/lib/axi/data_interface/data_inf_c/data_valve.sv +26 -0
  347. data/lib/axi/data_interface/data_inf_c/next_prio.sv +42 -0
  348. data/lib/axi/data_interface/data_inf_c/trigger_data_inf_c.sv +51 -0
  349. data/lib/axi/data_interface/data_inf_c/trigger_data_inf_c_A1.sv +54 -0
  350. data/lib/axi/data_interface/data_inf_c/trigger_ready_ctrl.sv +33 -0
  351. data/lib/axi/data_interface/data_inf_c/vcs_data_c_comptable.sv +40 -0
  352. data/lib/axi/data_interface/data_inf_cross_clk.sv +40 -0
  353. data/lib/axi/data_interface/data_inf_intc_M2S_force_addr_with_id.sv +62 -0
  354. data/lib/axi/data_interface/data_inf_intc_M2S_prio.sv +152 -0
  355. data/lib/axi/data_interface/data_inf_intc_M2S_prio_with_id.sv +55 -0
  356. data/lib/axi/data_interface/data_inf_interconnect_M2S_noaddr.sv +136 -0
  357. data/lib/axi/data_interface/data_inf_interconnect_M2S_with_id_noaddr.sv +55 -0
  358. data/lib/axi/data_interface/data_inf_planer.sv +59 -0
  359. data/lib/axi/data_interface/data_inf_planer_A1.sv +66 -0
  360. data/lib/axi/data_interface/data_inf_ticktock.sv +154 -0
  361. data/lib/axi/data_interface/data_interface.sv +91 -0
  362. data/lib/axi/data_interface/data_interface_pkg.sv +79 -0
  363. data/lib/axi/data_interface/data_pair_map.sv +152 -0
  364. data/lib/axi/data_interface/data_pair_map_A1.sv +159 -0
  365. data/lib/axi/data_interface/data_pair_map_A2.sv +212 -0
  366. data/lib/axi/data_interface/data_pipe_intc_M2S_addr.sv.bak +231 -0
  367. data/lib/axi/data_interface/data_pipe_interconnect.sv +290 -0
  368. data/lib/axi/data_interface/data_pipe_interconnect_M2S.sv +236 -0
  369. data/lib/axi/data_interface/data_pipe_interconnect_M2S.sv.bak1012 +237 -0
  370. data/lib/axi/data_interface/data_pipe_interconnect_M2S_A1.sv +241 -0
  371. data/lib/axi/data_interface/data_pipe_interconnect_M2S_verb.sv +302 -0
  372. data/lib/axi/data_interface/data_pipe_interconnect_M2S_verb.sv.bad_work +280 -0
  373. data/lib/axi/data_interface/data_pipe_interconnect_S2M.sv +332 -0
  374. data/lib/axi/data_interface/data_pipe_interconnect_S2M_A1.sv +376 -0
  375. data/lib/axi/data_interface/data_pipe_interconnect_S2M_verb.sv +265 -0
  376. data/lib/axi/data_interface/data_streams_combin.sv +592 -0
  377. data/lib/axi/data_interface/data_streams_combin_A1.sv +621 -0
  378. data/lib/axi/data_interface/data_streams_scaler.sv +593 -0
  379. data/lib/axi/data_interface/datainf_c_master_empty.sv +22 -0
  380. data/lib/axi/data_interface/datainf_c_slaver_empty.sv +22 -0
  381. data/lib/axi/data_interface/datainf_master_empty.sv +22 -0
  382. data/lib/axi/data_interface/datainf_slaver_empty.sv +22 -0
  383. data/lib/axi/data_interface/part_data_pair_map.sv +111 -0
  384. data/lib/axi/interface_define/axi_aux_inf.sv +206 -0
  385. data/lib/axi/interface_define/axi_inf.sv +1256 -0
  386. data/lib/axi/interface_define/axi_inf_verb.sv +42 -0
  387. data/lib/axi/interface_define/axi_interface_instance.svo +13 -0
  388. data/lib/axi/interface_define/axi_lite_inf.sv +345 -0
  389. data/lib/axi/interface_define/axi_stream_inf.sv +108 -0
  390. data/lib/axi/interface_define/bak/axi_aux_inf.sv +206 -0
  391. data/lib/axi/interface_define/bak/axi_inf_verb.sv +42 -0
  392. data/lib/axi/interface_define/bak/axi_interface_instance.svo +13 -0
  393. data/lib/axi/interface_define/bak/microblaze_inf.sv +136 -0
  394. data/lib/axi/interface_define/bak/xilinx_axi4_to_axi4.sv +87 -0
  395. data/lib/axi/interface_define/bak/xilinx_lite_to_lite.sv +128 -0
  396. data/lib/axi/interface_define/lite_inf2_to_inf.sv +38 -0
  397. data/lib/axi/interface_define/xilinx_axi4_to_axi4.sv +87 -0
  398. data/lib/axi/interface_define/xilinx_lite_to_lite.sv +128 -0
  399. data/lib/axi/macro/axil_macro.sv +132 -0
  400. data/lib/axi/macro/bak/axi4_base_files_add_to_vivado.tcl +28 -0
  401. data/lib/axi/macro/bak/axi_macro.sv +15 -0
  402. data/lib/axi/macro/bak/axis_base_files_add_to_vivado.tcl +26 -0
  403. data/lib/axi/macro/bak/base_files_add_to_vivado.tcl +24 -0
  404. data/lib/axi/macro/bak/data_inf_base_files_add_to_vivado.tcl +22 -0
  405. data/lib/axi/macro/bak/lite_inf_base_files_add_to_vivado.tcl +4 -0
  406. data/lib/axi/macro/bak/standard_tcl.rb +28 -0
  407. data/lib/axi/macro/bak/system_macro.sv +19 -0
  408. data/lib/axi/macro/bak/tcl_axi4_base_files_add_to_vivado.tcl +28 -0
  409. data/lib/axi/macro/bak/tcl_axis_base_files_add_to_vivado.tcl +26 -0
  410. data/lib/axi/macro/bak/tcl_base_files_add_to_vivado.tcl +24 -0
  411. data/lib/axi/macro/bak/tcl_data_inf_base_files_add_to_vivado.tcl +22 -0
  412. data/lib/axi/macro/bak/tcl_lite_inf_base_files_add_to_vivado.tcl +4 -0
  413. data/lib/axi/macro/bak/tcl_tmp.tcl +12 -0
  414. data/lib/axi/macro/bak/tmp.tcl +13 -0
  415. data/lib/axi/platform_ip/fifo_10_18bit_long.sv +125 -0
  416. data/lib/axi/platform_ip/fifo_145_216bit_A1.sv +167 -0
  417. data/lib/axi/platform_ip/fifo_217_288bit_A1.sv +191 -0
  418. data/lib/axi/platform_ip/fifo_36bit.sv +77 -0
  419. data/lib/axi/platform_ip/fifo_36bit_A1.sv +113 -0
  420. data/lib/axi/platform_ip/fifo_36kb_long.sv +145 -0
  421. data/lib/axi/platform_ip/fifo_37_72bit.sv +77 -0
  422. data/lib/axi/platform_ip/fifo_505_576bit_A1.sv +141 -0
  423. data/lib/axi/platform_ip/fifo_73_96bit.sv +102 -0
  424. data/lib/axi/platform_ip/fifo_97_144bit.sv +102 -0
  425. data/lib/axi/platform_ip/fifo_97_144bit_A1.sv +133 -0
  426. data/lib/axi/platform_ip/fifo_ku.sv +212 -0
  427. data/lib/axi/platform_ip/fifo_ku.sv.bak +488 -0
  428. data/lib/axi/platform_ip/fifo_ku_18bit.sv +138 -0
  429. data/lib/axi/platform_ip/fifo_ku_36bit.sv +148 -0
  430. data/lib/axi/platform_ip/fifo_ku_36kb_long.sv +135 -0
  431. data/lib/axi/platform_ip/fifo_ku_xbit_8192.sv.bak +107 -0
  432. data/lib/axi/platform_ip/fifo_wr_rd_mark.sv +94 -0
  433. data/lib/axi/platform_ip/ku_long_fifo_4bit.sv +189 -0
  434. data/lib/axi/platform_ip/long_fifo.sv +72 -0
  435. data/lib/axi/platform_ip/long_fifo_4bit.sv +156 -0
  436. data/lib/axi/platform_ip/long_fifo_4bit_8192.sv +133 -0
  437. data/lib/axi/platform_ip/long_fifo_4bit_SL8192.sv +133 -0
  438. data/lib/axi/platform_ip/long_fifo_verb.sv +110 -0
  439. data/lib/axi/platform_ip/wide_fifo.sv +66 -0
  440. data/lib/axi/platform_ip/wide_fifo_7series.sv +136 -0
  441. data/lib/axi/platform_ip/xilinx_fifo.sv +174 -0
  442. data/lib/axi/platform_ip/xilinx_fifo_A1.sv +223 -0
  443. data/lib/axi/platform_ip/xilinx_fifo_verb.sv +87 -0
  444. data/lib/axi/platform_ip/xilinx_fifo_verc.sv +87 -0
  445. data/lib/axi/platform_ip/xilinx_stream_packet_fifo_ip.sv +40 -0
  446. data/lib/axi/top/axi4_data_convert_2_20_tb.sv +126 -0
  447. data/lib/axi/top/axi4_data_convert_5_24_tb.sv +156 -0
  448. data/lib/axi/top/axi4_interconnnect_2_24_tb.sv +143 -0
  449. data/lib/axi/top/axi4_interconnnect_5_23_tb.sv +155 -0
  450. data/lib/axi/top/axi4_merge_tb_0331.sv +120 -0
  451. data/lib/axi/top/axi4_packet_fifo_2_28_tb.sv +107 -0
  452. data/lib/axi/top/axi4_partition_2_23_tb.sv +93 -0
  453. data/lib/axi/top/axi_stream_packet_fifo_2_28_tb.sv +78 -0
  454. data/lib/axi/top/axis_length_cut_2_28_tb.sv +79 -0
  455. data/lib/axi/top/axis_length_fill_8_18_tb.sv +81 -0
  456. data/lib/axi/top/common_fifo_2_27_tb.sv +77 -0
  457. data/lib/axi/top/data_convert_2_16_tb.sv +162 -0
  458. data/lib/axi/top/independent_fifo_2_27_tb.sv +90 -0
  459. data/lib/axi/top/long_to_wide_3_1_tb.sv +142 -0
  460. data/lib/axi/top/odd_width_convert_tb_420.sv +83 -0
  461. data/lib/axi/top/tb_axis_m2s_A1_0115.sv +158 -0
  462. data/lib/axi/top/tb_axis_width_combin_0913.sv +57 -0
  463. data/lib/axi/top/tb_axis_width_test_0914.sv +115 -0
  464. data/lib/axi/top/tb_data_c_inf_M2S_0823.sv +154 -0
  465. data/lib/axi/top/tb_data_c_inf_M2S_addr_0824.sv +252 -0
  466. data/lib/axi/top/tb_data_c_pipe_force_vld_1228.sv +96 -0
  467. data/lib/axi/top/tb_data_c_scaler_20180413.sv +187 -0
  468. data/lib/axi/top/tb_data_intc_S2M_0807.sv +168 -0
  469. data/lib/axi/top/tb_test_ku_fifo_0919.sv +98 -0
  470. data/lib/axi/top/width_convert_verb_tb_523.sv +68 -0
  471. data/lib/axi/video/video_stream_2_axi_stream.sv +90 -0
  472. data/lib/axi/video_interface/video_interface.sv +173 -0
  473. data/lib/axi_tdl.rb +6 -0
  474. data/lib/axi_tdl/version.rb +3 -0
  475. data/lib/spec/spec_helper.rb +100 -0
  476. data/lib/tdl/LICENSE +504 -0
  477. data/lib/tdl/Logic/Logic.tar.gz +0 -0
  478. data/lib/tdl/Logic/clock_rst_verb_auto.rb +99 -0
  479. data/lib/tdl/Logic/logic_edge.rb +194 -0
  480. data/lib/tdl/Logic/logic_latency.rb +197 -0
  481. data/lib/tdl/Logic/logic_main.rb +188 -0
  482. data/lib/tdl/Logic/logic_operator.rb.bak +128 -0
  483. data/lib/tdl/Logic/mdio_model_auto.rb +77 -0
  484. data/lib/tdl/Logic/path_lib.rb +7 -0
  485. data/lib/tdl/Logic/redefine_operator.rb +28 -0
  486. data/lib/tdl/ReadMe.md +295 -0
  487. data/lib/tdl/SDL/axi4/AXI4_interconnect_M2S_sdl.rb +10 -0
  488. data/lib/tdl/SDL/axi4/axi4_combin_wr_rd_batch_sdl.rb +10 -0
  489. data/lib/tdl/SDL/axi4/axi4_data_combin_aflag_pipe_A1_sdl.rb +38 -0
  490. data/lib/tdl/SDL/axi4/axi4_data_combin_aflag_pipe_sdl.rb +37 -0
  491. data/lib/tdl/SDL/axi4/axi4_data_convert_A1_sdl.rb +9 -0
  492. data/lib/tdl/SDL/axi4/axi4_data_convert_sdl.rb +9 -0
  493. data/lib/tdl/SDL/axi4/axi4_direct_A1_sdl.rb +14 -0
  494. data/lib/tdl/SDL/axi4/axi4_direct_B1_sdl.rb +9 -0
  495. data/lib/tdl/SDL/axi4/axi4_direct_sdl.rb +14 -0
  496. data/lib/tdl/SDL/axi4/axi4_direct_verb_sdl.rb +9 -0
  497. data/lib/tdl/SDL/axi4/axi4_direct_verc_sdl.rb +16 -0
  498. data/lib/tdl/SDL/axi4/axi4_long_to_axi4_wide_A1_sdl.rb +10 -0
  499. data/lib/tdl/SDL/axi4/axi4_long_to_axi4_wide_sdl.rb +9 -0
  500. data/lib/tdl/SDL/axi4/axi4_long_to_axi4_wide_track_sdl.rb +9 -0
  501. data/lib/tdl/SDL/axi4/axi4_long_to_axi4_wide_verb_sdl.rb +11 -0
  502. data/lib/tdl/SDL/axi4/axi4_merge_rd_sdl.rb +10 -0
  503. data/lib/tdl/SDL/axi4/axi4_merge_sdl.rb +10 -0
  504. data/lib/tdl/SDL/axi4/axi4_merge_wr_sdl.rb +10 -0
  505. data/lib/tdl/SDL/axi4/axi4_mix_interconnect_M2S_sdl.rb +10 -0
  506. data/lib/tdl/SDL/axi4/axi4_packet_fifo_sdl.rb +12 -0
  507. data/lib/tdl/SDL/axi4/axi4_partition_OD_sdl.rb +11 -0
  508. data/lib/tdl/SDL/axi4/axi4_partition_rd_OD_sdl.rb +10 -0
  509. data/lib/tdl/SDL/axi4/axi4_partition_rd_sdl.rb +11 -0
  510. data/lib/tdl/SDL/axi4/axi4_partition_sdl.rb +11 -0
  511. data/lib/tdl/SDL/axi4/axi4_partition_wr_OD_sdl.rb +10 -0
  512. data/lib/tdl/SDL/axi4/axi4_partition_wr_sdl.rb +11 -0
  513. data/lib/tdl/SDL/axi4/axi4_pipe_sdl.rb +9 -0
  514. data/lib/tdl/SDL/axi4/axi4_pipe_verb_sdl.rb +9 -0
  515. data/lib/tdl/SDL/axi4/axi4_rd_auxiliary_batch_gen_sdl.rb +11 -0
  516. data/lib/tdl/SDL/axi4/axi4_rd_auxiliary_gen_A1_sdl.rb +9 -0
  517. data/lib/tdl/SDL/axi4/axi4_rd_auxiliary_gen_sdl.rb +9 -0
  518. data/lib/tdl/SDL/axi4/axi4_rd_burst_track_sdl.rb +10 -0
  519. data/lib/tdl/SDL/axi4/axi4_rd_interconnect_M2S_sdl.rb +10 -0
  520. data/lib/tdl/SDL/axi4/axi4_rd_mix_interconnect_M2S_A1_sdl.rb +10 -0
  521. data/lib/tdl/SDL/axi4/axi4_rd_mix_interconnect_M2S_A2_sdl.rb +10 -0
  522. data/lib/tdl/SDL/axi4/axi4_rd_mix_interconnect_M2S_sdl.rb +10 -0
  523. data/lib/tdl/SDL/axi4/axi4_rd_packet_fifo_sdl.rb +11 -0
  524. data/lib/tdl/SDL/axi4/axi4_rd_pipe_sdl.rb +9 -0
  525. data/lib/tdl/SDL/axi4/axi4_rd_pipe_verb_sdl.rb +9 -0
  526. data/lib/tdl/SDL/axi4/axi4_wr_aux_bind_data_sdl.rb +9 -0
  527. data/lib/tdl/SDL/axi4/axi4_wr_auxiliary_batch_gen_sdl.rb +11 -0
  528. data/lib/tdl/SDL/axi4/axi4_wr_auxiliary_gen_sdl.rb +10 -0
  529. data/lib/tdl/SDL/axi4/axi4_wr_auxiliary_gen_without_resp_sdl.rb +10 -0
  530. data/lib/tdl/SDL/axi4/axi4_wr_burst_track_sdl.rb +10 -0
  531. data/lib/tdl/SDL/axi4/axi4_wr_interconnect_M2S_A1_sdl.rb +10 -0
  532. data/lib/tdl/SDL/axi4/axi4_wr_interconnect_M2S_sdl.rb +10 -0
  533. data/lib/tdl/SDL/axi4/axi4_wr_mix_interconnect_M2S_sdl.rb +10 -0
  534. data/lib/tdl/SDL/axi4/axi4_wr_packet_fifo_sdl.rb +11 -0
  535. data/lib/tdl/SDL/axi4/axi4_wr_pipe_sdl.rb +9 -0
  536. data/lib/tdl/SDL/axi4/axi4_wr_pipe_verb_sdl.rb +9 -0
  537. data/lib/tdl/SDL/axi4/axi_stream_add_addr_len_sdl.rb +11 -0
  538. data/lib/tdl/SDL/axi4/axi_stream_to_axi4_wr_sdl.rb +9 -0
  539. data/lib/tdl/SDL/axi4/data_combin_sdl.rb +20 -0
  540. data/lib/tdl/SDL/axi4/data_destruct_sdl.rb +19 -0
  541. data/lib/tdl/SDL/axi4/feed_check_sdl.rb +18 -0
  542. data/lib/tdl/SDL/axi4/full_axi4_to_axis_partition_wr_rd_sdl.rb +11 -0
  543. data/lib/tdl/SDL/axi4/full_axi4_to_axis_sdl.rb +10 -0
  544. data/lib/tdl/SDL/axi4/id_record_sdl.rb +19 -0
  545. data/lib/tdl/SDL/axi4/idata_pool_axi4_sdl.rb +18 -0
  546. data/lib/tdl/SDL/axi4/odata_pool_axi4_A1_sdl.rb +13 -0
  547. data/lib/tdl/SDL/axi4/odata_pool_axi4_A2_sdl.rb +10 -0
  548. data/lib/tdl/SDL/axi4/odata_pool_axi4_sdl.rb +19 -0
  549. data/lib/tdl/SDL/axi4/odd_width_convert_sdl.rb +19 -0
  550. data/lib/tdl/SDL/axi4/odd_width_convert_verb_sdl.rb +19 -0
  551. data/lib/tdl/SDL/axi4/simple_data_pipe_sdl.rb +16 -0
  552. data/lib/tdl/SDL/axi4/simple_data_pipe_slaver_sdl.rb +16 -0
  553. data/lib/tdl/SDL/axi4/vcs_axi4_array_comptable.rb +9 -0
  554. data/lib/tdl/SDL/axi4/vcs_axi4_array_comptable_sdl.rb +10 -0
  555. data/lib/tdl/SDL/axi4/vcs_axi4_comptable.rb +8 -0
  556. data/lib/tdl/SDL/axi4/vcs_axi4_comptable_sdl.rb +9 -0
  557. data/lib/tdl/SDL/axi4/width_combin_sdl.rb +20 -0
  558. data/lib/tdl/SDL/axi4/width_convert_sdl.rb +20 -0
  559. data/lib/tdl/SDL/axi4/width_convert_verb_sdl.rb +20 -0
  560. data/lib/tdl/SDL/axi4/width_destruct_A1_sdl.rb +22 -0
  561. data/lib/tdl/SDL/axi4/width_destruct_sdl.rb +19 -0
  562. data/lib/tdl/SDL/axistream/axi_stream_cache_35bit_sdl.rb +9 -0
  563. data/lib/tdl/SDL/axistream/axi_stream_cache_36_71bit_sdl.rb +9 -0
  564. data/lib/tdl/SDL/axistream/axi_stream_cache_72_95bit_sdl.rb +9 -0
  565. data/lib/tdl/SDL/axistream/axi_stream_cache_72_95bit_with_keep_sdl.rb +9 -0
  566. data/lib/tdl/SDL/axistream/axi_stream_cache_96_143bit_sdl.rb +9 -0
  567. data/lib/tdl/SDL/axistream/axi_stream_cache_B1_sdl.rb +9 -0
  568. data/lib/tdl/SDL/axistream/axi_stream_cache_mirror_sdl.rb +9 -0
  569. data/lib/tdl/SDL/axistream/axi_stream_cache_sdl.rb +9 -0
  570. data/lib/tdl/SDL/axistream/axi_stream_cache_verb_sdl.rb +9 -0
  571. data/lib/tdl/SDL/axistream/axi_stream_interconnect_M2S_A1_sdl.rb +11 -0
  572. data/lib/tdl/SDL/axistream/axi_stream_interconnect_M2S_A2_sdl.rb +13 -0
  573. data/lib/tdl/SDL/axistream/axi_stream_interconnect_M2S_bind_tuser_sdl.rb +11 -0
  574. data/lib/tdl/SDL/axistream/axi_stream_interconnect_M2S_noaddr_sdl.rb +11 -0
  575. data/lib/tdl/SDL/axistream/axi_stream_interconnect_M2S_sdl.rb +12 -0
  576. data/lib/tdl/SDL/axistream/axi_stream_interconnect_M2S_with_addr_sdl.rb +12 -0
  577. data/lib/tdl/SDL/axistream/axi_stream_interconnect_S2M_auto_sdl.rb +11 -0
  578. data/lib/tdl/SDL/axistream/axi_stream_interconnect_S2M_sdl.rb +12 -0
  579. data/lib/tdl/SDL/axistream/axi_stream_long_cache_sdl.rb +10 -0
  580. data/lib/tdl/SDL/axistream/axi_stream_long_fifo_sdl.rb +11 -0
  581. data/lib/tdl/SDL/axistream/axi_stream_long_fifo_verb_sdl.rb +11 -0
  582. data/lib/tdl/SDL/axistream/axi_stream_packet_fifo_B1E_sdl.rb +16 -0
  583. data/lib/tdl/SDL/axistream/axi_stream_packet_fifo_B1_sdl.rb +14 -0
  584. data/lib/tdl/SDL/axistream/axi_stream_packet_fifo_sdl.rb +10 -0
  585. data/lib/tdl/SDL/axistream/axi_stream_packet_fifo_verb_sdl.rb +13 -0
  586. data/lib/tdl/SDL/axistream/axi_stream_packet_fifo_with_info_sdl.rb +13 -0
  587. data/lib/tdl/SDL/axistream/axi_stream_packet_long_fifo_sdl.rb +11 -0
  588. data/lib/tdl/SDL/axistream/axi_stream_partition_A1_sdl.rb +11 -0
  589. data/lib/tdl/SDL/axistream/axi_stream_partition_sdl.rb +12 -0
  590. data/lib/tdl/SDL/axistream/axi_stream_wide_fifo_sdl.rb +10 -0
  591. data/lib/tdl/SDL/axistream/axi_streams_combin_A1_sdl.rb +15 -0
  592. data/lib/tdl/SDL/axistream/axi_streams_combin_sdl.rb +16 -0
  593. data/lib/tdl/SDL/axistream/axi_streams_scaler_A1_sdl.rb +14 -0
  594. data/lib/tdl/SDL/axistream/axi_streams_scaler_sdl.rb +15 -0
  595. data/lib/tdl/SDL/axistream/axis_append_A1_sdl.rb +18 -0
  596. data/lib/tdl/SDL/axistream/axis_append_sdl.rb +17 -0
  597. data/lib/tdl/SDL/axistream/axis_base_pipe_sdl.rb +10 -0
  598. data/lib/tdl/SDL/axistream/axis_combin_with_fifo_sdl.rb +14 -0
  599. data/lib/tdl/SDL/axistream/axis_connect_pipe_right_shift_sdl.rb +10 -0
  600. data/lib/tdl/SDL/axistream/axis_connect_pipe_sdl.rb +9 -0
  601. data/lib/tdl/SDL/axistream/axis_connect_pipe_with_info_sdl.rb +12 -0
  602. data/lib/tdl/SDL/axistream/axis_direct_A1_sdl.rb +11 -0
  603. data/lib/tdl/SDL/axistream/axis_direct_sdl.rb +9 -0
  604. data/lib/tdl/SDL/axistream/axis_ex_status_sdl.rb +12 -0
  605. data/lib/tdl/SDL/axistream/axis_filter_sdl.rb +10 -0
  606. data/lib/tdl/SDL/axistream/axis_full_to_data_c_sdl.rb +9 -0
  607. data/lib/tdl/SDL/axistream/axis_head_cut_sdl.rb +10 -0
  608. data/lib/tdl/SDL/axistream/axis_inct_s2m_with_flag_sdl.rb +11 -0
  609. data/lib/tdl/SDL/axistream/axis_intc_M2S_with_addr_inf_sdl.rb +11 -0
  610. data/lib/tdl/SDL/axistream/axis_intc_S2M_with_addr_inf_sdl.rb +12 -0
  611. data/lib/tdl/SDL/axistream/axis_interconnect_S2M_pipe_sdl.rb +15 -0
  612. data/lib/tdl/SDL/axistream/axis_length_cut_sdl.rb +10 -0
  613. data/lib/tdl/SDL/axistream/axis_length_fill_sdl.rb +10 -0
  614. data/lib/tdl/SDL/axistream/axis_length_split_sdl.rb +10 -0
  615. data/lib/tdl/SDL/axistream/axis_length_split_with_addr_sdl.rb +13 -0
  616. data/lib/tdl/SDL/axistream/axis_length_split_writh_user_sdl.rb +10 -0
  617. data/lib/tdl/SDL/axistream/axis_link_trigger_sdl.rb +12 -0
  618. data/lib/tdl/SDL/axistream/axis_master_empty_sdl.rb +8 -0
  619. data/lib/tdl/SDL/axistream/axis_mirror_to_master_sdl.rb +10 -0
  620. data/lib/tdl/SDL/axistream/axis_mirrors_sdl.rb +14 -0
  621. data/lib/tdl/SDL/axistream/axis_orthogonal_sdl.rb +10 -0
  622. data/lib/tdl/SDL/axistream/axis_pkt_fifo_filter_keep_A1_sdl.rb +10 -0
  623. data/lib/tdl/SDL/axistream/axis_pkt_fifo_filter_keep_sdl.rb +10 -0
  624. data/lib/tdl/SDL/axistream/axis_ram_buffer_sdl.rb +13 -0
  625. data/lib/tdl/SDL/axistream/axis_slaver_empty_sdl.rb +8 -0
  626. data/lib/tdl/SDL/axistream/axis_slaver_pipe_A1_sdl.rb +10 -0
  627. data/lib/tdl/SDL/axistream/axis_slaver_pipe_sdl.rb +9 -0
  628. data/lib/tdl/SDL/axistream/axis_slaver_vector_empty_sdl.rb +9 -0
  629. data/lib/tdl/SDL/axistream/axis_to_data_inf_sdl.rb +10 -0
  630. data/lib/tdl/SDL/axistream/axis_to_lite_rd_sdl.rb +11 -0
  631. data/lib/tdl/SDL/axistream/axis_to_lite_wr_sdl.rb +10 -0
  632. data/lib/tdl/SDL/axistream/axis_uncompress_A1_sdl.rb +12 -0
  633. data/lib/tdl/SDL/axistream/axis_uncompress_sdl.rb +11 -0
  634. data/lib/tdl/SDL/axistream/axis_valve_sdl.rb +10 -0
  635. data/lib/tdl/SDL/axistream/axis_valve_with_pipe_sdl.rb +11 -0
  636. data/lib/tdl/SDL/axistream/axis_width_combin_A1_sdl.rb +9 -0
  637. data/lib/tdl/SDL/axistream/axis_width_combin_sdl.rb +9 -0
  638. data/lib/tdl/SDL/axistream/axis_width_convert_sdl.rb +9 -0
  639. data/lib/tdl/SDL/axistream/axis_width_destruct_A1_sdl.rb +9 -0
  640. data/lib/tdl/SDL/axistream/axis_width_destruct_sdl.rb +9 -0
  641. data/lib/tdl/SDL/axistream/check_stream_crc_sdl.rb +8 -0
  642. data/lib/tdl/SDL/axistream/data_c_to_axis_full_sdl.rb +9 -0
  643. data/lib/tdl/SDL/axistream/data_to_axis_inf_A1_sdl.rb +10 -0
  644. data/lib/tdl/SDL/axistream/data_to_axis_inf_sdl.rb +11 -0
  645. data/lib/tdl/SDL/axistream/gen_big_field_table_sdl.rb +14 -0
  646. data/lib/tdl/SDL/axistream/gen_common_frame_table_sdl.rb +60 -0
  647. data/lib/tdl/SDL/axistream/gen_origin_axis_A1_sdl.rb +13 -0
  648. data/lib/tdl/SDL/axistream/gen_origin_axis_sdl.rb +12 -0
  649. data/lib/tdl/SDL/axistream/gen_simple_axis_sdl.rb +13 -0
  650. data/lib/tdl/SDL/axistream/parse_big_field_table_A1_sdl.rb +17 -0
  651. data/lib/tdl/SDL/axistream/parse_big_field_table_A2_sdl.rb +17 -0
  652. data/lib/tdl/SDL/axistream/parse_big_field_table_sdl.rb +17 -0
  653. data/lib/tdl/SDL/axistream/stream_crc_sdl.rb +9 -0
  654. data/lib/tdl/SDL/axistream/vcs_axis_comptable.rb +16 -0
  655. data/lib/tdl/SDL/axistream/vcs_axis_comptable_sdl.rb +9 -0
  656. data/lib/tdl/SDL/data_inf_c/data_bind_sdl.rb +10 -0
  657. data/lib/tdl/SDL/data_inf_c/data_c_cache_sdl.rb +9 -0
  658. data/lib/tdl/SDL/data_inf_c/data_c_direct_mirror_sdl.rb +9 -0
  659. data/lib/tdl/SDL/data_inf_c/data_c_direct_sdl.rb +9 -0
  660. data/lib/tdl/SDL/data_inf_c/data_c_intc_M2S_force_robin_sdl.rb +10 -0
  661. data/lib/tdl/SDL/data_inf_c/data_c_pipe_force_vld_bind_data_sdl.rb +13 -0
  662. data/lib/tdl/SDL/data_inf_c/data_c_pipe_force_vld_sdl.rb +9 -0
  663. data/lib/tdl/SDL/data_inf_c/data_c_pipe_inf_A1_sdl.rb +10 -0
  664. data/lib/tdl/SDL/data_inf_c/data_c_pipe_inf_right_shift_sdl.rb +13 -0
  665. data/lib/tdl/SDL/data_inf_c/data_c_pipe_inf_sdl.rb +9 -0
  666. data/lib/tdl/SDL/data_inf_c/data_c_pipe_intc_M2S_C1_sdl.rb +14 -0
  667. data/lib/tdl/SDL/data_inf_c/data_c_pipe_intc_M2S_C1_with_id_sdl.rb +16 -0
  668. data/lib/tdl/SDL/data_inf_c/data_c_pipe_intc_M2S_verc_sdl.rb +13 -0
  669. data/lib/tdl/SDL/data_inf_c/data_c_pipe_intc_M2S_verc_with_addr_sdl.rb +13 -0
  670. data/lib/tdl/SDL/data_inf_c/data_c_pipe_intc_M2S_verc_with_id_sdl.rb +15 -0
  671. data/lib/tdl/SDL/data_inf_c/data_c_pipe_latency_sdl.rb +10 -0
  672. data/lib/tdl/SDL/data_inf_c/data_c_scaler_A1_sdl.rb +16 -0
  673. data/lib/tdl/SDL/data_inf_c/data_c_scaler_sdl.rb +15 -0
  674. data/lib/tdl/SDL/data_inf_c/data_c_tmp_cache_sdl.rb +9 -0
  675. data/lib/tdl/SDL/data_inf_c/data_condition_mirror_sdl.rb +13 -0
  676. data/lib/tdl/SDL/data_inf_c/data_condition_valve_sdl.rb +13 -0
  677. data/lib/tdl/SDL/data_inf_c/data_connect_pipe_inf_sdl.rb +9 -0
  678. data/lib/tdl/SDL/data_inf_c/data_connect_pipe_sdl.rb +17 -0
  679. data/lib/tdl/SDL/data_inf_c/data_inf_A2B_sdl.rb +9 -0
  680. data/lib/tdl/SDL/data_inf_c/data_inf_B2A_sdl.rb +9 -0
  681. data/lib/tdl/SDL/data_inf_c/data_inf_c_M2S_with_addr_and_id_sdl.rb +17 -0
  682. data/lib/tdl/SDL/data_inf_c/data_inf_c_intc_M2S_with_id_sdl.rb +15 -0
  683. data/lib/tdl/SDL/data_inf_c/data_inf_c_intc_S2M_A1_sdl.rb +13 -0
  684. data/lib/tdl/SDL/data_inf_c/data_inf_c_intc_S2M_sdl.rb +12 -0
  685. data/lib/tdl/SDL/data_inf_c/data_inf_c_intc_S2M_with_lazy_sdl.rb +15 -0
  686. data/lib/tdl/SDL/data_inf_c/data_inf_c_interconnect_M2S_sdl.rb +12 -0
  687. data/lib/tdl/SDL/data_inf_c/data_inf_c_pipe_condition_sdl.rb +10 -0
  688. data/lib/tdl/SDL/data_inf_c/data_inf_c_planer_A1.rb +12 -0
  689. data/lib/tdl/SDL/data_inf_c/data_inf_c_planer_A1_sdl.rb +14 -0
  690. data/lib/tdl/SDL/data_inf_c/data_inf_c_planer_sdl.rb +13 -0
  691. data/lib/tdl/SDL/data_inf_c/data_inf_cross_clk_sdl.rb +9 -0
  692. data/lib/tdl/SDL/data_inf_c/data_inf_intc_M2S_force_addr_with_id_sdl.rb +19 -0
  693. data/lib/tdl/SDL/data_inf_c/data_inf_intc_M2S_prio_sdl.rb +14 -0
  694. data/lib/tdl/SDL/data_inf_c/data_inf_intc_M2S_prio_with_id_sdl.rb +17 -0
  695. data/lib/tdl/SDL/data_inf_c/data_inf_interconnect_M2S_noaddr_sdl.rb +14 -0
  696. data/lib/tdl/SDL/data_inf_c/data_inf_interconnect_M2S_with_id_noaddr_sdl.rb +17 -0
  697. data/lib/tdl/SDL/data_inf_c/data_inf_planer_A1_sdl.rb +15 -0
  698. data/lib/tdl/SDL/data_inf_c/data_inf_planer_sdl.rb +14 -0
  699. data/lib/tdl/SDL/data_inf_c/data_inf_ticktock_sdl.rb +18 -0
  700. data/lib/tdl/SDL/data_inf_c/data_intc_M2S_force_robin_sdl.rb +10 -0
  701. data/lib/tdl/SDL/data_inf_c/data_mirrors_sdl.rb +14 -0
  702. data/lib/tdl/SDL/data_inf_c/data_pair_map_A1_sdl.rb +16 -0
  703. data/lib/tdl/SDL/data_inf_c/data_pair_map_A2_sdl.rb +19 -0
  704. data/lib/tdl/SDL/data_inf_c/data_pair_map_sdl.rb +14 -0
  705. data/lib/tdl/SDL/data_inf_c/data_pipe_interconnect_M2S_A1_sdl.rb +19 -0
  706. data/lib/tdl/SDL/data_inf_c/data_pipe_interconnect_M2S_sdl.rb +17 -0
  707. data/lib/tdl/SDL/data_inf_c/data_pipe_interconnect_M2S_verb_sdl.rb +16 -0
  708. data/lib/tdl/SDL/data_inf_c/data_pipe_interconnect_S2M_A1_sdl.rb +19 -0
  709. data/lib/tdl/SDL/data_inf_c/data_pipe_interconnect_S2M_sdl.rb +16 -0
  710. data/lib/tdl/SDL/data_inf_c/data_pipe_interconnect_S2M_verb_sdl.rb +15 -0
  711. data/lib/tdl/SDL/data_inf_c/data_pipe_interconnect_sdl.rb +23 -0
  712. data/lib/tdl/SDL/data_inf_c/data_streams_combin_A1_sdl.rb +20 -0
  713. data/lib/tdl/SDL/data_inf_c/data_streams_combin_sdl.rb +20 -0
  714. data/lib/tdl/SDL/data_inf_c/data_streams_scaler_sdl.rb +19 -0
  715. data/lib/tdl/SDL/data_inf_c/data_uncompress_sdl.rb +11 -0
  716. data/lib/tdl/SDL/data_inf_c/data_valve_sdl.rb +10 -0
  717. data/lib/tdl/SDL/data_inf_c/datainf_c_master_empty_sdl.rb +8 -0
  718. data/lib/tdl/SDL/data_inf_c/datainf_c_slaver_empty_sdl.rb +8 -0
  719. data/lib/tdl/SDL/data_inf_c/datainf_master_empty_sdl.rb +8 -0
  720. data/lib/tdl/SDL/data_inf_c/datainf_slaver_empty_sdl.rb +8 -0
  721. data/lib/tdl/SDL/data_inf_c/latency_sdl.rb +8 -0
  722. data/lib/tdl/SDL/data_inf_c/next_prio_sdl.rb +12 -0
  723. data/lib/tdl/SDL/data_inf_c/part_data_pair_map_sdl.rb +19 -0
  724. data/lib/tdl/SDL/data_inf_c/trigger_data_inf_c_A1_sdl.rb +11 -0
  725. data/lib/tdl/SDL/data_inf_c/trigger_data_inf_c_sdl.rb +11 -0
  726. data/lib/tdl/SDL/data_inf_c/trigger_ready_ctrl_sdl.rb +12 -0
  727. data/lib/tdl/SDL/data_inf_c/vcs_data_c_comptable.rb +8 -0
  728. data/lib/tdl/SDL/data_inf_c/vcs_data_c_comptable_sdl.rb +9 -0
  729. data/lib/tdl/SDL/fifo/common_fifo_sdl.rb +20 -0
  730. data/lib/tdl/SDL/fifo/common_stack_sdl.rb +14 -0
  731. data/lib/tdl/SDL/fifo/independent_clock_fifo_a1_sdl.rb +21 -0
  732. data/lib/tdl/SDL/fifo/independent_clock_fifo_sdl.rb +20 -0
  733. data/lib/tdl/SDL/fifo/independent_stack_sdl.rb +18 -0
  734. data/lib/tdl/SDL/path_lib.rb +6 -0
  735. data/lib/tdl/VideoInf/simple_video_gen.rb +46 -0
  736. data/lib/tdl/VideoInf/video_from_axi4.rb +108 -0
  737. data/lib/tdl/VideoInf/video_lib.rb +8 -0
  738. data/lib/tdl/VideoInf/video_stream_2_axi_stream.rb +67 -0
  739. data/lib/tdl/VideoInf/video_to_axi4.rb +75 -0
  740. data/lib/tdl/auto_script/auto_gen_tdl.rb +49 -0
  741. data/lib/tdl/auto_script/autogensdl.rb +289 -0
  742. data/lib/tdl/auto_script/autogentdl_a2.rb +452 -0
  743. data/lib/tdl/auto_script/import_hdl.rb +35 -0
  744. data/lib/tdl/auto_script/import_sdl.rb +26 -0
  745. data/lib/tdl/auto_script/test_autogensdl.rb +73 -0
  746. data/lib/tdl/auto_script/tmp.rb +6 -0
  747. data/lib/tdl/auto_script/tmp/hdl_test_sdl.rb +12 -0
  748. data/lib/tdl/axi4/axi4_combin_wr_rd_batch_auto.rb +79 -0
  749. data/lib/tdl/axi4/axi4_direct.rb +36 -0
  750. data/lib/tdl/axi4/axi4_direct_A1_auto.rb +137 -0
  751. data/lib/tdl/axi4/axi4_direct_auto.rb +79 -0
  752. data/lib/tdl/axi4/axi4_direct_verb_auto.rb +71 -0
  753. data/lib/tdl/axi4/axi4_interconnect_verb.rb +323 -0
  754. data/lib/tdl/axi4/axi4_lib.rb +9 -0
  755. data/lib/tdl/axi4/axi4_long_to_axi4_wide_A1_auto.rb +79 -0
  756. data/lib/tdl/axi4/axi4_long_to_axi4_wide_auto.rb +71 -0
  757. data/lib/tdl/axi4/axi4_long_to_axi4_wide_verb_auto.rb +86 -0
  758. data/lib/tdl/axi4/axi4_packet_fifo_auto.rb +155 -0
  759. data/lib/tdl/axi4/axi4_pipe_auto.rb +127 -0
  760. data/lib/tdl/axi4/axi4_pipe_verb_auto.rb +127 -0
  761. data/lib/tdl/axi4/axi4_rd_auxiliary_gen_auto.rb +71 -0
  762. data/lib/tdl/axi4/axi4_wr_auxiliary_gen_without_resp_auto.rb +78 -0
  763. data/lib/tdl/axi4/axis_to_axi4_wr_auto.rb +85 -0
  764. data/lib/tdl/axi4/bak/__axi4_wr_auxiliary_gen_without_resp.rb +175 -0
  765. data/lib/tdl/axi4/bak/axi4_combin_wr_rd_batch_auto.rb +153 -0
  766. data/lib/tdl/axi4/bak/axi4_data_convert.rb +74 -0
  767. data/lib/tdl/axi4/bak/axi4_direct_auto.rb +153 -0
  768. data/lib/tdl/axi4/bak/axi4_direct_verb_auto.rb +126 -0
  769. data/lib/tdl/axi4/bak/axi4_interconnect.rb.bak +91 -0
  770. data/lib/tdl/axi4/bak/axi4_long_to_axi4_wide_A1_auto.rb +153 -0
  771. data/lib/tdl/axi4/bak/axi4_long_to_axi4_wide_auto.rb +126 -0
  772. data/lib/tdl/axi4/bak/axi4_long_to_axi4_wide_verb_auto.rb +179 -0
  773. data/lib/tdl/axi4/bak/axi4_packet_fifo.rb.bak +75 -0
  774. data/lib/tdl/axi4/bak/axi4_packet_fifo_auto.rb +259 -0
  775. data/lib/tdl/axi4/bak/axi4_partition_od.rb +84 -0
  776. data/lib/tdl/axi4/bak/axi4_pipe_auto.rb +174 -0
  777. data/lib/tdl/axi4/bak/axi4_wr_auxiliary_gen_without_resp_auto.rb +152 -0
  778. data/lib/tdl/axi4/bak/axis_to_axi4_wr_auto.rb +178 -0
  779. data/lib/tdl/axi4/bak/ddr3.rb +40 -0
  780. data/lib/tdl/axi4/bak/idata_pool_axi4_auto.rb +396 -0
  781. data/lib/tdl/axi4/bak/odata_pool_axi4_A1_auto.rb +230 -0
  782. data/lib/tdl/axi4/bak/odata_pool_axi4_auto.rb +386 -0
  783. data/lib/tdl/axi4/idata_pool_axi4_auto.rb +176 -0
  784. data/lib/tdl/axi4/odata_pool_axi4_A1_auto.rb +99 -0
  785. data/lib/tdl/axi4/odata_pool_axi4_auto.rb +141 -0
  786. data/lib/tdl/axi4/wide_axis_to_axi4_wr.rb +84 -0
  787. data/lib/tdl/axi4/wide_axis_to_axi4_wr_auto.rb +84 -0
  788. data/lib/tdl/axi_lite/axi_lite_master_empty_auto.rb +85 -0
  789. data/lib/tdl/axi_lite/axi_lite_slaver_empty_auto.rb +68 -0
  790. data/lib/tdl/axi_lite/bak/axi_lite_master_empty_auto.rb +95 -0
  791. data/lib/tdl/axi_lite/bak/axi_lite_slaver_empty_auto.rb +88 -0
  792. data/lib/tdl/axi_lite/bak/jtag_to_axilite_wrapper_auto.rb +112 -0
  793. data/lib/tdl/axi_lite/jtag_to_axilite_wrapper_auto.rb +63 -0
  794. data/lib/tdl/axi_lite/lite_cmd.rb +154 -0
  795. data/lib/tdl/axi_lite/prj_lib.rb +6 -0
  796. data/lib/tdl/axi_stream/axi_stream_cache_35bit_auto.rb +127 -0
  797. data/lib/tdl/axi_stream/axi_stream_cache_72_95bit_with_keep_auto.rb +127 -0
  798. data/lib/tdl/axi_stream/axi_stream_cache_B1_auto.rb +127 -0
  799. data/lib/tdl/axi_stream/axi_stream_cache_auto.rb +134 -0
  800. data/lib/tdl/axi_stream/axi_stream_cache_mirror_auto.rb +127 -0
  801. data/lib/tdl/axi_stream/axi_stream_cache_verb_auto.rb +127 -0
  802. data/lib/tdl/axi_stream/axi_stream_interconnect.rb +214 -0
  803. data/lib/tdl/axi_stream/axi_stream_interconnect_M2S.rb +85 -0
  804. data/lib/tdl/axi_stream/axi_stream_interconnect_M2S_A1.rb +129 -0
  805. data/lib/tdl/axi_stream/axi_stream_interconnect_M2S_A1_auto.rb +137 -0
  806. data/lib/tdl/axi_stream/axi_stream_interconnect_M2S_auto.rb +93 -0
  807. data/lib/tdl/axi_stream/axi_stream_interconnect_M2S_bind_tuser_auto.rb +137 -0
  808. data/lib/tdl/axi_stream/axi_stream_interconnect_S2M.rb +86 -0
  809. data/lib/tdl/axi_stream/axi_stream_interconnect_S2M_auto.rb +86 -0
  810. data/lib/tdl/axi_stream/axi_stream_interconnect_S2M_auto_auto.rb +91 -0
  811. data/lib/tdl/axi_stream/axi_stream_interconnect_S2M_with_keep.sv_auto.rb +93 -0
  812. data/lib/tdl/axi_stream/axi_stream_lib.rb +18 -0
  813. data/lib/tdl/axi_stream/axi_stream_long_cache_auto.rb +137 -0
  814. data/lib/tdl/axi_stream/axi_stream_long_fifo_auto.rb +146 -0
  815. data/lib/tdl/axi_stream/axi_stream_long_fifo_verb_auto.rb +146 -0
  816. data/lib/tdl/axi_stream/axi_stream_packet_fifo_auto.rb +137 -0
  817. data/lib/tdl/axi_stream/axi_stream_packet_fifo_with_info_auto.rb +164 -0
  818. data/lib/tdl/axi_stream/axi_stream_partition_A1_auto.rb +145 -0
  819. data/lib/tdl/axi_stream/axi_stream_partition_auto.rb +154 -0
  820. data/lib/tdl/axi_stream/axi_stream_wide_fifo_auto.rb +137 -0
  821. data/lib/tdl/axi_stream/axi_streams_combin_A1_auto.rb +184 -0
  822. data/lib/tdl/axi_stream/axi_streams_combin_auto.rb +193 -0
  823. data/lib/tdl/axi_stream/axi_streams_scaler_A1_auto.rb +175 -0
  824. data/lib/tdl/axi_stream/axi_streams_scaler_auto.rb +184 -0
  825. data/lib/tdl/axi_stream/axis_append_A1_auto.rb +209 -0
  826. data/lib/tdl/axi_stream/axis_append_auto.rb +200 -0
  827. data/lib/tdl/axi_stream/axis_combin_with_fifo_auto.rb +175 -0
  828. data/lib/tdl/axi_stream/axis_connect_pipe_A1.sv_auto.rb +127 -0
  829. data/lib/tdl/axi_stream/axis_connect_pipe_auto.rb +127 -0
  830. data/lib/tdl/axi_stream/axis_connect_pipe_with_info_auto.rb +155 -0
  831. data/lib/tdl/axi_stream/axis_direct_auto.rb +127 -0
  832. data/lib/tdl/axi_stream/axis_filter_auto.rb +136 -0
  833. data/lib/tdl/axi_stream/axis_full_to_data_c_auto.rb +71 -0
  834. data/lib/tdl/axi_stream/axis_head_cut_auto.rb +137 -0
  835. data/lib/tdl/axi_stream/axis_length_fill_auto.rb +136 -0
  836. data/lib/tdl/axi_stream/axis_length_split_auto.rb +136 -0
  837. data/lib/tdl/axi_stream/axis_length_split_with_addr_auto.rb +164 -0
  838. data/lib/tdl/axi_stream/axis_length_split_writh_user_auto.rb +136 -0
  839. data/lib/tdl/axi_stream/axis_link_trigger_auto.rb +93 -0
  840. data/lib/tdl/axi_stream/axis_master_empty_auto.rb +85 -0
  841. data/lib/tdl/axi_stream/axis_mirror_to_master_auto.rb +137 -0
  842. data/lib/tdl/axi_stream/axis_mirrors_auto.rb +173 -0
  843. data/lib/tdl/axi_stream/axis_pkt_fifo_filter_keep_A1_auto.rb +137 -0
  844. data/lib/tdl/axi_stream/axis_pkt_fifo_filter_keep_auto.rb +137 -0
  845. data/lib/tdl/axi_stream/axis_ram_buffer_auto.rb +164 -0
  846. data/lib/tdl/axi_stream/axis_slaver_empty_auto.rb +68 -0
  847. data/lib/tdl/axi_stream/axis_slaver_pipe_A1_auto.rb +137 -0
  848. data/lib/tdl/axi_stream/axis_slaver_pipe_auto.rb +127 -0
  849. data/lib/tdl/axi_stream/axis_to_axi4_or_lite_auto.rb +87 -0
  850. data/lib/tdl/axi_stream/axis_to_data_inf_auto.rb +79 -0
  851. data/lib/tdl/axi_stream/axis_to_lite_rd_auto.rb +87 -0
  852. data/lib/tdl/axi_stream/axis_to_lite_wr_auto.rb +79 -0
  853. data/lib/tdl/axi_stream/axis_uncompress_auto.rb +86 -0
  854. data/lib/tdl/axi_stream/axis_valve_auto.rb +136 -0
  855. data/lib/tdl/axi_stream/axis_valve_with_pipe_auto.rb +153 -0
  856. data/lib/tdl/axi_stream/axis_width_combin_A1_auto.rb +127 -0
  857. data/lib/tdl/axi_stream/axis_width_combin_auto.rb +127 -0
  858. data/lib/tdl/axi_stream/axis_width_convert_auto.rb +127 -0
  859. data/lib/tdl/axi_stream/axis_width_destruct_A1.sv_auto.rb +127 -0
  860. data/lib/tdl/axi_stream/axis_width_destruct_auto.rb +127 -0
  861. data/lib/tdl/axi_stream/bak/__axi_stream_interconnect_S2M.rb +186 -0
  862. data/lib/tdl/axi_stream/bak/_axis_mirrors.rb +270 -0
  863. data/lib/tdl/axi_stream/bak/axi4_to_native_for_ddr_ip_verb_auto.rb +343 -0
  864. data/lib/tdl/axi_stream/bak/axi_stream_S2M.rb +63 -0
  865. data/lib/tdl/axi_stream/bak/axi_stream_cache_35bit_auto.rb +138 -0
  866. data/lib/tdl/axi_stream/bak/axi_stream_cache_72_95bit_with_keep_auto.rb +138 -0
  867. data/lib/tdl/axi_stream/bak/axi_stream_cache_B1_auto.rb +138 -0
  868. data/lib/tdl/axi_stream/bak/axi_stream_cache_auto.rb +138 -0
  869. data/lib/tdl/axi_stream/bak/axi_stream_cache_mirror_auto.rb +138 -0
  870. data/lib/tdl/axi_stream/bak/axi_stream_cache_verb_auto.rb +138 -0
  871. data/lib/tdl/axi_stream/bak/axi_stream_interconnect_S2M_auto.rb +147 -0
  872. data/lib/tdl/axi_stream/bak/axi_stream_interconnect_S2M_with_keep.sv_auto.rb +166 -0
  873. data/lib/tdl/axi_stream/bak/axi_stream_long_fifo_auto.rb +177 -0
  874. data/lib/tdl/axi_stream/bak/axi_stream_packet_fifo_auto.rb +158 -0
  875. data/lib/tdl/axi_stream/bak/axi_stream_packet_fifo_with_info_auto.rb +215 -0
  876. data/lib/tdl/axi_stream/bak/axi_stream_partition_A1_auto.rb +176 -0
  877. data/lib/tdl/axi_stream/bak/axi_stream_partition_auto.rb +195 -0
  878. data/lib/tdl/axi_stream/bak/axi_streams_combin_auto.rb +274 -0
  879. data/lib/tdl/axi_stream/bak/axi_streams_scaler.rb +300 -0
  880. data/lib/tdl/axi_stream/bak/axi_streams_scaler_auto.rb +255 -0
  881. data/lib/tdl/axi_stream/bak/axis_append_A1.rb +265 -0
  882. data/lib/tdl/axi_stream/bak/axis_append_A1_auto.rb +310 -0
  883. data/lib/tdl/axi_stream/bak/axis_append_auto.rb +291 -0
  884. data/lib/tdl/axi_stream/bak/axis_combin_with_fifo_auto.rb +236 -0
  885. data/lib/tdl/axi_stream/bak/axis_connect_pipe.rb.bak +207 -0
  886. data/lib/tdl/axi_stream/bak/axis_connect_pipe_A1.sv_auto.rb +138 -0
  887. data/lib/tdl/axi_stream/bak/axis_connect_pipe_auto.rb +138 -0
  888. data/lib/tdl/axi_stream/bak/axis_connect_pipe_with_info_auto.rb +196 -0
  889. data/lib/tdl/axi_stream/bak/axis_direct_auto.rb +138 -0
  890. data/lib/tdl/axi_stream/bak/axis_filter_auto.rb +157 -0
  891. data/lib/tdl/axi_stream/bak/axis_length_fill_auto.rb +157 -0
  892. data/lib/tdl/axi_stream/bak/axis_length_split_auto.rb +157 -0
  893. data/lib/tdl/axi_stream/bak/axis_length_split_with_addr_auto.rb +215 -0
  894. data/lib/tdl/axi_stream/bak/axis_master_empty_auto.rb +95 -0
  895. data/lib/tdl/axi_stream/bak/axis_mirrors_auto.rb +234 -0
  896. data/lib/tdl/axi_stream/bak/axis_pkt_fifo_filter_keep_auto.rb +158 -0
  897. data/lib/tdl/axi_stream/bak/axis_ram_buffer_auto.rb +215 -0
  898. data/lib/tdl/axi_stream/bak/axis_slaver_empty_auto.rb +88 -0
  899. data/lib/tdl/axi_stream/bak/axis_slaver_pipe_A1_auto.rb +158 -0
  900. data/lib/tdl/axi_stream/bak/axis_slaver_pipe_auto.rb +138 -0
  901. data/lib/tdl/axi_stream/bak/axis_to_axi4_wr_auto.rb +205 -0
  902. data/lib/tdl/axi_stream/bak/axis_to_data_inf_auto.rb +108 -0
  903. data/lib/tdl/axi_stream/bak/axis_uncompress_auto.rb +147 -0
  904. data/lib/tdl/axi_stream/bak/axis_valve_auto.rb +157 -0
  905. data/lib/tdl/axi_stream/bak/axis_valve_with_pipe_auto.rb +157 -0
  906. data/lib/tdl/axi_stream/bak/axis_width_combin_auto.rb +138 -0
  907. data/lib/tdl/axi_stream/bak/axis_width_convert_auto.rb +138 -0
  908. data/lib/tdl/axi_stream/bak/axis_width_destruct_auto.rb +138 -0
  909. data/lib/tdl/axi_stream/bak/axis_wrapper_oled_auto.rb +230 -0
  910. data/lib/tdl/axi_stream/bak/check_stream_crc_auto.rb +88 -0
  911. data/lib/tdl/axi_stream/bak/data_to_axis_inf_A1.rb +129 -0
  912. data/lib/tdl/axi_stream/bak/data_to_axis_inf_A1_auto.rb +127 -0
  913. data/lib/tdl/axi_stream/bak/data_to_axis_inf_auto.rb +146 -0
  914. data/lib/tdl/axi_stream/bak/datainf_c_master_empty_auto.rb +115 -0
  915. data/lib/tdl/axi_stream/bak/datainf_c_slaver_empty_auto.rb +108 -0
  916. data/lib/tdl/axi_stream/bak/datainf_master_empty_auto.rb +115 -0
  917. data/lib/tdl/axi_stream/bak/datainf_slaver_empty_auto.rb +108 -0
  918. data/lib/tdl/axi_stream/bak/dynamic_port_cfg_auto.rb +246 -0
  919. data/lib/tdl/axi_stream/bak/dynnamic_addr_cfg_auto.rb +200 -0
  920. data/lib/tdl/axi_stream/bak/gen_big_field_table_auto.rb +210 -0
  921. data/lib/tdl/axi_stream/bak/gen_origin_axis_auto.rb +172 -0
  922. data/lib/tdl/axi_stream/bak/gen_simple_axis_auto.rb +191 -0
  923. data/lib/tdl/axi_stream/bak/idata_pool_axi4_auto.rb +346 -0
  924. data/lib/tdl/axi_stream/bak/parse_big_field_table_A1_auto.rb +292 -0
  925. data/lib/tdl/axi_stream/bak/parse_big_field_table_A2_auto.rb +292 -0
  926. data/lib/tdl/axi_stream/bak/parse_big_field_table_auto.rb +292 -0
  927. data/lib/tdl/axi_stream/bak/part_data_pair_map_auto.rb +362 -0
  928. data/lib/tdl/axi_stream/bak/simple_video_gen_A2.rb +146 -0
  929. data/lib/tdl/axi_stream/bak/simple_video_gen_A2_auto.rb +151 -0
  930. data/lib/tdl/axi_stream/bak/stream_crc_auto.rb +107 -0
  931. data/lib/tdl/axi_stream/bak/udp_server_bfm_auto.rb +131 -0
  932. data/lib/tdl/axi_stream/bak/udp_server_ctrl_bfm_auto.rb +131 -0
  933. data/lib/tdl/axi_stream/bak/video_to_VDMA.rb +153 -0
  934. data/lib/tdl/axi_stream/bak/video_to_VDMA_auto.rb +158 -0
  935. data/lib/tdl/axi_stream/check_stream_crc_auto.rb +63 -0
  936. data/lib/tdl/axi_stream/data_c_to_axis_full_auto.rb +71 -0
  937. data/lib/tdl/axi_stream/data_to_axis_inf_A1_auto.rb +78 -0
  938. data/lib/tdl/axi_stream/data_to_axis_inf_auto.rb +85 -0
  939. data/lib/tdl/axi_stream/gen_big_field_table_auto.rb +140 -0
  940. data/lib/tdl/axi_stream/gen_origin_axis_A1_auto.rb +131 -0
  941. data/lib/tdl/axi_stream/gen_origin_axis_auto.rb +122 -0
  942. data/lib/tdl/axi_stream/gen_simple_axis_auto.rb +131 -0
  943. data/lib/tdl/axi_stream/parse_big_field_table_A1_auto.rb +201 -0
  944. data/lib/tdl/axi_stream/parse_big_field_table_A2_auto.rb +201 -0
  945. data/lib/tdl/axi_stream/parse_big_field_table_auto.rb +201 -0
  946. data/lib/tdl/axi_stream/stream_crc_auto.rb +70 -0
  947. data/lib/tdl/basefunc.rb +338 -0
  948. data/lib/tdl/bfm/axi4_illegal_bfm.rb +203 -0
  949. data/lib/tdl/bfm/axi_stream/axi_stream_bfm.rb +351 -0
  950. data/lib/tdl/bfm/axi_stream/axis_bfm_exp.yml +38 -0
  951. data/lib/tdl/bfm/axi_stream/axis_bfm_module_build.rb +120 -0
  952. data/lib/tdl/bfm/axi_stream/axis_bfm_parse.rb +10 -0
  953. data/lib/tdl/bfm/axi_stream/axis_slice_to_logic.rb +71 -0
  954. data/lib/tdl/bfm/bfm_lib.rb +7 -0
  955. data/lib/tdl/bfm/logic_initial_block.rb +52 -0
  956. data/lib/tdl/cfg.yml +4 -0
  957. data/lib/tdl/class_hdl/hdl_always_comb.rb +54 -0
  958. data/lib/tdl/class_hdl/hdl_always_ff.rb +175 -0
  959. data/lib/tdl/class_hdl/hdl_assign.rb +49 -0
  960. data/lib/tdl/class_hdl/hdl_block_ifelse.rb +349 -0
  961. data/lib/tdl/class_hdl/hdl_data.rb +24 -0
  962. data/lib/tdl/class_hdl/hdl_ex_defarraychain.rb +231 -0
  963. data/lib/tdl/class_hdl/hdl_foreach.rb +114 -0
  964. data/lib/tdl/class_hdl/hdl_function.rb +277 -0
  965. data/lib/tdl/class_hdl/hdl_generate.rb +218 -0
  966. data/lib/tdl/class_hdl/hdl_initial.rb +147 -0
  967. data/lib/tdl/class_hdl/hdl_module_def.rb +447 -0
  968. data/lib/tdl/class_hdl/hdl_package.rb +150 -0
  969. data/lib/tdl/class_hdl/hdl_parameter.rb +73 -0
  970. data/lib/tdl/class_hdl/hdl_random.rb +31 -0
  971. data/lib/tdl/class_hdl/hdl_redefine_opertor.rb +653 -0
  972. data/lib/tdl/class_hdl/hdl_struct.rb +209 -0
  973. data/lib/tdl/class_hdl/hdl_verify.rb +136 -0
  974. data/lib/tdl/data_inf/_data_mirrors.rb +92 -0
  975. data/lib/tdl/data_inf/bak/_data_mirrors.rb +273 -0
  976. data/lib/tdl/data_inf/bak/common_fifo_auto.rb +279 -0
  977. data/lib/tdl/data_inf/bak/data_bind_auto.rb +128 -0
  978. data/lib/tdl/data_inf/bak/data_c_direct_auto.rb +138 -0
  979. data/lib/tdl/data_inf/bak/data_c_direct_mirror_auto.rb +138 -0
  980. data/lib/tdl/data_inf/bak/data_c_tmp_cache_auto.rb +138 -0
  981. data/lib/tdl/data_inf/bak/data_condition_mirror_auto.rb +216 -0
  982. data/lib/tdl/data_inf/bak/data_condition_valve_auto.rb +215 -0
  983. data/lib/tdl/data_inf/bak/data_connect_pipe.rb +80 -0
  984. data/lib/tdl/data_inf/bak/data_connect_pipe_inf_auto.rb +138 -0
  985. data/lib/tdl/data_inf/bak/data_inf_c_interconnect.rb +86 -0
  986. data/lib/tdl/data_inf/bak/data_inf_c_pipe_condition_auto.rb +157 -0
  987. data/lib/tdl/data_inf/bak/data_inf_cross_clk.rb +60 -0
  988. data/lib/tdl/data_inf/bak/data_inf_interconnect.rb +144 -0
  989. data/lib/tdl/data_inf/bak/data_inf_planer.rb +78 -0
  990. data/lib/tdl/data_inf/bak/data_inf_ticktack.rb +80 -0
  991. data/lib/tdl/data_inf/bak/data_inf_ticktock_auto.rb +0 -0
  992. data/lib/tdl/data_inf/bak/data_mirrors_auto.rb +234 -0
  993. data/lib/tdl/data_inf/bak/data_mirrors_verb.sv_auto.rb +234 -0
  994. data/lib/tdl/data_inf/bak/data_uncompress_auto.rb +177 -0
  995. data/lib/tdl/data_inf/bak/data_valve_auto.rb +127 -0
  996. data/lib/tdl/data_inf/bak/datainf_c_master_empty_auto.rb +95 -0
  997. data/lib/tdl/data_inf/bak/datainf_c_slaver_empty_auto.rb +88 -0
  998. data/lib/tdl/data_inf/bak/datainf_master_empty_auto.rb +95 -0
  999. data/lib/tdl/data_inf/bak/datainf_slaver_empty_auto.rb +88 -0
  1000. data/lib/tdl/data_inf/bak/independent_clock_fifo_auto.rb +298 -0
  1001. data/lib/tdl/data_inf/bak/part_data_pair_map_auto.rb +306 -0
  1002. data/lib/tdl/data_inf/common_fifo_auto.rb +141 -0
  1003. data/lib/tdl/data_inf/data_bind_auto.rb +79 -0
  1004. data/lib/tdl/data_inf/data_c_cache_auto.rb +135 -0
  1005. data/lib/tdl/data_inf/data_c_direct_auto.rb +127 -0
  1006. data/lib/tdl/data_inf/data_c_direct_mirror_auto.rb +127 -0
  1007. data/lib/tdl/data_inf/data_c_interconnect.rb +97 -0
  1008. data/lib/tdl/data_inf/data_c_pipe_force_vld_auto.rb +127 -0
  1009. data/lib/tdl/data_inf/data_c_pipe_inf_auto.rb +127 -0
  1010. data/lib/tdl/data_inf/data_c_pipe_intc_M2S_verc_auto.rb +123 -0
  1011. data/lib/tdl/data_inf/data_c_tmp_cache_auto.rb +127 -0
  1012. data/lib/tdl/data_inf/data_condition_mirror_auto.rb +165 -0
  1013. data/lib/tdl/data_inf/data_condition_valve_auto.rb +164 -0
  1014. data/lib/tdl/data_inf/data_connect_pipe_inf_auto.rb +127 -0
  1015. data/lib/tdl/data_inf/data_inf_c_pipe_condition_auto.rb +136 -0
  1016. data/lib/tdl/data_inf/data_mirrors_auto.rb +173 -0
  1017. data/lib/tdl/data_inf/data_mirrors_verb.sv_auto.rb +173 -0
  1018. data/lib/tdl/data_inf/data_uncompress_auto.rb +146 -0
  1019. data/lib/tdl/data_inf/data_valve_auto.rb +104 -0
  1020. data/lib/tdl/data_inf/datainf_c_master_empty_auto.rb +85 -0
  1021. data/lib/tdl/data_inf/datainf_c_slaver_empty_auto.rb +68 -0
  1022. data/lib/tdl/data_inf/datainf_master_empty_auto.rb +85 -0
  1023. data/lib/tdl/data_inf/datainf_slaver_empty_auto.rb +68 -0
  1024. data/lib/tdl/data_inf/independent_clock_fifo_auto.rb +141 -0
  1025. data/lib/tdl/data_inf/part_data_pair_map_auto.rb +149 -0
  1026. data/lib/tdl/data_inf/path_lib.rb +18 -0
  1027. data/lib/tdl/elements/Reset.rb +153 -0
  1028. data/lib/tdl/elements/axi4.rb +642 -0
  1029. data/lib/tdl/elements/axi_lite.rb +246 -0
  1030. data/lib/tdl/elements/axi_stream.rb +674 -0
  1031. data/lib/tdl/elements/clock.rb +193 -0
  1032. data/lib/tdl/elements/common_configure_reg.rb +135 -0
  1033. data/lib/tdl/elements/data_inf.rb +660 -0
  1034. data/lib/tdl/elements/logic.rb +356 -0
  1035. data/lib/tdl/elements/mail_box.rb +64 -0
  1036. data/lib/tdl/elements/originclass.rb +689 -0
  1037. data/lib/tdl/elements/parameter.rb +318 -0
  1038. data/lib/tdl/elements/track_inf.rb +163 -0
  1039. data/lib/tdl/elements/videoinf.rb +224 -0
  1040. data/lib/tdl/examples/10_random/exp_random.rb +13 -0
  1041. data/lib/tdl/examples/10_random/exp_random.sv +36 -0
  1042. data/lib/tdl/examples/11_test_unit/dve.tcl +64 -0
  1043. data/lib/tdl/examples/11_test_unit/exp_test_unit.rb +58 -0
  1044. data/lib/tdl/examples/11_test_unit/exp_test_unit.sv +35 -0
  1045. data/lib/tdl/examples/11_test_unit/exp_test_unit_constraints.xdc +25 -0
  1046. data/lib/tdl/examples/11_test_unit/modules/sub_md0.rb +23 -0
  1047. data/lib/tdl/examples/11_test_unit/modules/sub_md0.sv +41 -0
  1048. data/lib/tdl/examples/11_test_unit/modules/sub_md1.rb +25 -0
  1049. data/lib/tdl/examples/11_test_unit/modules/sub_md1.sv +41 -0
  1050. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit.sv +28 -0
  1051. data/lib/tdl/examples/11_test_unit/tu0.sv +38 -0
  1052. data/lib/tdl/examples/11_test_unit/tu1.sv +28 -0
  1053. data/lib/tdl/examples/1_define_module/example1.rb +39 -0
  1054. data/lib/tdl/examples/1_define_module/exmple_md.sv +50 -0
  1055. data/lib/tdl/examples/2_hdl_class/always_comb.rb +99 -0
  1056. data/lib/tdl/examples/2_hdl_class/always_ff.rb +143 -0
  1057. data/lib/tdl/examples/2_hdl_class/case.rb +93 -0
  1058. data/lib/tdl/examples/2_hdl_class/foreach.rb +21 -0
  1059. data/lib/tdl/examples/2_hdl_class/function.rb +34 -0
  1060. data/lib/tdl/examples/2_hdl_class/generate.rb +62 -0
  1061. data/lib/tdl/examples/2_hdl_class/module_def.rb +33 -0
  1062. data/lib/tdl/examples/2_hdl_class/module_head_import_package.rb +36 -0
  1063. data/lib/tdl/examples/2_hdl_class/module_instance_test.rb +13 -0
  1064. data/lib/tdl/examples/2_hdl_class/package.rb +29 -0
  1065. data/lib/tdl/examples/2_hdl_class/package2.rb +21 -0
  1066. data/lib/tdl/examples/2_hdl_class/simple_assign.rb +39 -0
  1067. data/lib/tdl/examples/2_hdl_class/state_case.rb +65 -0
  1068. data/lib/tdl/examples/2_hdl_class/struct.rb +25 -0
  1069. data/lib/tdl/examples/2_hdl_class/struct_function.rb +28 -0
  1070. data/lib/tdl/examples/2_hdl_class/test_axi4_M2S.rb +16 -0
  1071. data/lib/tdl/examples/2_hdl_class/test_initial_assert.rb +11 -0
  1072. data/lib/tdl/examples/2_hdl_class/test_inst_sugar.rb +28 -0
  1073. data/lib/tdl/examples/2_hdl_class/test_module_port.rb +47 -0
  1074. data/lib/tdl/examples/2_hdl_class/test_module_var.rb +18 -0
  1075. data/lib/tdl/examples/2_hdl_class/tmp/always_comb_test.sv +108 -0
  1076. data/lib/tdl/examples/2_hdl_class/tmp/always_ff_test.sv +35 -0
  1077. data/lib/tdl/examples/2_hdl_class/tmp/case_test.sv +105 -0
  1078. data/lib/tdl/examples/2_hdl_class/tmp/head_pkg_module.sv +42 -0
  1079. data/lib/tdl/examples/2_hdl_class/tmp/init_module.sv +110 -0
  1080. data/lib/tdl/examples/2_hdl_class/tmp/module_instance_test.sv +31 -0
  1081. data/lib/tdl/examples/2_hdl_class/tmp/port_module.sv +99 -0
  1082. data/lib/tdl/examples/2_hdl_class/tmp/simple_assign_test.sv +27 -0
  1083. data/lib/tdl/examples/2_hdl_class/tmp/state_case_test.sv +78 -0
  1084. data/lib/tdl/examples/2_hdl_class/tmp/test_axi4_M2S.sv +30 -0
  1085. data/lib/tdl/examples/2_hdl_class/tmp/test_foreach.sv +34 -0
  1086. data/lib/tdl/examples/2_hdl_class/tmp/test_function.sv +52 -0
  1087. data/lib/tdl/examples/2_hdl_class/tmp/test_initial_assert.sv +31 -0
  1088. data/lib/tdl/examples/2_hdl_class/tmp/test_inst_sugar.sv +42 -0
  1089. data/lib/tdl/examples/2_hdl_class/tmp/test_module.sv +36 -0
  1090. data/lib/tdl/examples/2_hdl_class/tmp/test_module_port.sv +33 -0
  1091. data/lib/tdl/examples/2_hdl_class/tmp/test_module_var.sv +35 -0
  1092. data/lib/tdl/examples/2_hdl_class/tmp/test_package.sv +38 -0
  1093. data/lib/tdl/examples/2_hdl_class/tmp/test_package2.sv +36 -0
  1094. data/lib/tdl/examples/2_hdl_class/tmp/test_struct.sv +38 -0
  1095. data/lib/tdl/examples/2_hdl_class/tmp/test_struct_function.sv +44 -0
  1096. data/lib/tdl/examples/2_hdl_class/tmp/test_vcs_string.sv +27 -0
  1097. data/lib/tdl/examples/2_hdl_class/tmp/text_generate.sv +54 -0
  1098. data/lib/tdl/examples/2_hdl_class/vcs_string.rb +5 -0
  1099. data/lib/tdl/examples/3_hdl_sdl_instance/hdl_test.sv +13 -0
  1100. data/lib/tdl/examples/3_hdl_sdl_instance/main.rb +26 -0
  1101. data/lib/tdl/examples/3_hdl_sdl_instance/main_md.sv +42 -0
  1102. data/lib/tdl/examples/3_hdl_sdl_instance/sdl_md.sv +30 -0
  1103. data/lib/tdl/examples/3_hdl_sdl_instance/sdl_test.rb +9 -0
  1104. data/lib/tdl/examples/4_generate/example.rb +38 -0
  1105. data/lib/tdl/examples/4_generate/test_generate.sv +59 -0
  1106. data/lib/tdl/examples/5_logic_combin/login_combin.rb +22 -0
  1107. data/lib/tdl/examples/5_logic_combin/test_logic_combin.sv +36 -0
  1108. data/lib/tdl/examples/6_module_with_interface/example.rb +48 -0
  1109. data/lib/tdl/examples/6_module_with_interface/example_interface.sv +40 -0
  1110. data/lib/tdl/examples/6_module_with_interface/inf_collect.rb +54 -0
  1111. data/lib/tdl/examples/6_module_with_interface/inf_collect.sv +63 -0
  1112. data/lib/tdl/examples/7_module_with_package/body_package.rb +3 -0
  1113. data/lib/tdl/examples/7_module_with_package/body_package.sv +25 -0
  1114. data/lib/tdl/examples/7_module_with_package/example_pkg.rb +20 -0
  1115. data/lib/tdl/examples/7_module_with_package/example_pkg.sv +35 -0
  1116. data/lib/tdl/examples/7_module_with_package/head_package.rb +8 -0
  1117. data/lib/tdl/examples/7_module_with_package/head_package.sv +29 -0
  1118. data/lib/tdl/examples/8_top_module/dve.tcl +64 -0
  1119. data/lib/tdl/examples/8_top_module/example.rb +8 -0
  1120. data/lib/tdl/examples/8_top_module/pins.yml +7 -0
  1121. data/lib/tdl/examples/8_top_module/tb_test_top.sv +29 -0
  1122. data/lib/tdl/examples/8_top_module/test_top.sv +28 -0
  1123. data/lib/tdl/examples/8_top_module/test_top_constraints.xdc +37 -0
  1124. data/lib/tdl/examples/9_itegration/A_itgt/a_test_md.sv +29 -0
  1125. data/lib/tdl/examples/9_itegration/A_itgt/a_test_module.rb +6 -0
  1126. data/lib/tdl/examples/9_itegration/A_itgt/itgt_module_a_block.rb +34 -0
  1127. data/lib/tdl/examples/9_itegration/clock_manage/itgt_module_clock_manage.rb +33 -0
  1128. data/lib/tdl/examples/9_itegration/clock_manage/simple_clock.rb +7 -0
  1129. data/lib/tdl/examples/9_itegration/clock_manage/simple_clock.sv +29 -0
  1130. data/lib/tdl/examples/9_itegration/dve.tcl +64 -0
  1131. data/lib/tdl/examples/9_itegration/pins.yml +4 -0
  1132. data/lib/tdl/examples/9_itegration/tb_test_top.sv +29 -0
  1133. data/lib/tdl/examples/9_itegration/tb_test_tttop.sv +29 -0
  1134. data/lib/tdl/examples/9_itegration/test_top.sv +40 -0
  1135. data/lib/tdl/examples/9_itegration/test_top_constraints.xdc +29 -0
  1136. data/lib/tdl/examples/9_itegration/test_tttop.sv +40 -0
  1137. data/lib/tdl/examples/9_itegration/test_tttop_constraints.xdc +29 -0
  1138. data/lib/tdl/examples/9_itegration/top.rb +11 -0
  1139. data/lib/tdl/examples/readme.md +31 -0
  1140. data/lib/tdl/exlib/common_cfg_reg_inf.rb +139 -0
  1141. data/lib/tdl/exlib/constraints.rb +286 -0
  1142. data/lib/tdl/exlib/constraints_verb.rb +304 -0
  1143. data/lib/tdl/exlib/dve_tcl.rb +162 -0
  1144. data/lib/tdl/exlib/element_class_vars.rb +106 -0
  1145. data/lib/tdl/exlib/global_param.rb +108 -0
  1146. data/lib/tdl/exlib/integral_test/bak/integral_test.rb +206 -0
  1147. data/lib/tdl/exlib/integral_test/clock_itest.rb +28 -0
  1148. data/lib/tdl/exlib/integral_test/diff_clock_itest.rb +30 -0
  1149. data/lib/tdl/exlib/integral_test/io_itest.rb +41 -0
  1150. data/lib/tdl/exlib/integral_test/reset_itest.rb +31 -0
  1151. data/lib/tdl/exlib/integral_test/simple_logic_itest.rb +19 -0
  1152. data/lib/tdl/exlib/itegration.rb +307 -0
  1153. data/lib/tdl/exlib/itegration_verb.rb +913 -0
  1154. data/lib/tdl/exlib/parse_argv.rb +43 -0
  1155. data/lib/tdl/exlib/sdlmodule_sim.bak.rb +375 -0
  1156. data/lib/tdl/exlib/test_point.rb +287 -0
  1157. data/lib/tdl/global_scan.rb +134 -0
  1158. data/lib/tdl/rebuild_ele/axi4.rb +141 -0
  1159. data/lib/tdl/rebuild_ele/axi_lite.rb +56 -0
  1160. data/lib/tdl/rebuild_ele/axi_stream.rb +121 -0
  1161. data/lib/tdl/rebuild_ele/cm_ram_inf.sv +105 -0
  1162. data/lib/tdl/rebuild_ele/cm_ram_inf_define.rb +44 -0
  1163. data/lib/tdl/rebuild_ele/data_inf.rb +27 -0
  1164. data/lib/tdl/rebuild_ele/data_inf_c.rb +83 -0
  1165. data/lib/tdl/rebuild_ele/ele_base.rb +822 -0
  1166. data/lib/tdl/rebuild_ele/readme.md +1 -0
  1167. data/lib/tdl/sdlimplement/resource.yml +154 -0
  1168. data/lib/tdl/sdlimplement/sdl_impl_module.rb +391 -0
  1169. data/lib/tdl/sdlimplement/sdl_impl_param.rb +26 -0
  1170. data/lib/tdl/sdlimplement/test.rb +64 -0
  1171. data/lib/tdl/sdlmodule/bak/sdlmodule_varible_ex.rb +120 -0
  1172. data/lib/tdl/sdlmodule/generator_block_module.rb +84 -0
  1173. data/lib/tdl/sdlmodule/sdlmodule.rb +407 -0
  1174. data/lib/tdl/sdlmodule/sdlmodule_arraychain.rb +333 -0
  1175. data/lib/tdl/sdlmodule/sdlmodule_draw.rb +272 -0
  1176. data/lib/tdl/sdlmodule/sdlmodule_head_logo.txt +10 -0
  1177. data/lib/tdl/sdlmodule/sdlmodule_instance.rb +623 -0
  1178. data/lib/tdl/sdlmodule/sdlmodule_port_define.rb +374 -0
  1179. data/lib/tdl/sdlmodule/sdlmodule_varible.rb +160 -0
  1180. data/lib/tdl/sdlmodule/sdlmodule_vcs_comptable.rb +140 -0
  1181. data/lib/tdl/sdlmodule/techbench_module.rb +14 -0
  1182. data/lib/tdl/sdlmodule/test_unit_module.rb +138 -0
  1183. data/lib/tdl/sdlmodule/top_module.rb +543 -0
  1184. data/lib/tdl/tdl.rb +265 -0
  1185. data/lib/tdl/tdlerror/tdlerror.rb +8 -0
  1186. data/lib/tdl/testunit/test_all.rb +4 -0
  1187. data/lib/tdl/testunit/test_array_chain.rb +89 -0
  1188. data/lib/tdl/testunit/test_tmp.rb +47 -0
  1189. metadata +1301 -0
data/lib/tdl/tdl.rb ADDED
@@ -0,0 +1,265 @@
1
+ $__start_time__ = Time.now
2
+ # require_relative "./tdlerror"
3
+ require_relative './tdlerror/tdlerror'
4
+ require_relative './global_scan'
5
+ require_relative "./basefunc"
6
+ # require_relative "./exlib/element_class_vars" #test
7
+ # require_relative "./exlib/global_param"
8
+ require_relative "./elements/originclass"
9
+ require_relative "./elements/clock"
10
+ require_relative "./elements/Reset"
11
+ require_relative "./elements/logic"
12
+ # require_relative "./elements/data_inf"
13
+ # require_relative "./elements/axi_stream"
14
+ # require_relative "./elements/axi4"
15
+ require_relative "./elements/parameter"
16
+ # require_relative "./elements/videoinf"
17
+ # require_relative "./elements/axi_lite"
18
+ require_relative "./elements/mail_box"
19
+ # require_relative "./elements/track_inf"
20
+ # require_relative "./elements/common_configure_reg"
21
+
22
+ # require_relative "./bfm/bfm_lib"
23
+
24
+ ## 引入 ClassHDL 语法
25
+ require_relative "./class_hdl/hdl_assign.rb"
26
+ require_relative "./class_hdl/hdl_redefine_opertor.rb"
27
+ require_relative "./class_hdl/hdl_block_ifelse.rb"
28
+ require_relative "./class_hdl/hdl_always_comb.rb"
29
+ require_relative "./class_hdl/hdl_always_ff.rb"
30
+ require_relative "./class_hdl/hdl_data.rb"
31
+ require_relative "./class_hdl/hdl_module_def.rb"
32
+ require_relative "./class_hdl/hdl_generate.rb"
33
+ require_relative "./class_hdl/hdl_parameter.rb"
34
+ require_relative "./class_hdl/hdl_struct.rb"
35
+ require_relative "./class_hdl/hdl_package.rb"
36
+ require_relative "./class_hdl/hdl_foreach.rb"
37
+ require_relative "./class_hdl/hdl_initial.rb"
38
+ require_relative "./class_hdl/hdl_verify.rb"
39
+ require_relative "./class_hdl/hdl_random.rb"
40
+
41
+ require_relative "./Logic/logic_latency.rb"
42
+ require_relative "./Logic/logic_edge.rb"
43
+
44
+ # PackClassVars.require_element # test
45
+
46
+ # require_relative "./axi_stream/axi_stream_lib"
47
+ # require_relative "./axi4/axi4_lib"
48
+ # require_relative "./data_inf/path_lib"
49
+ # require_relative "./VideoInf/video_lib"
50
+ # require_relative "./axi_lite/prj_lib"
51
+
52
+ # require_relative "./auto_script/autogentdl_a2"
53
+ require_relative "./auto_script/autogensdl"
54
+ # require_relative "./tdlmodule"
55
+ # require_relative "./tdlmodule_ports_define"
56
+ # require_relative "./tdlhash"
57
+ # require_relative "./bfm/bfm_lib"
58
+
59
+ require_relative './sdlmodule/sdlmodule.rb'
60
+ require_relative './sdlmodule/sdlmodule_port_define.rb'
61
+ require_relative './sdlmodule/sdlmodule_draw.rb'
62
+ require_relative './sdlmodule/sdlmodule_instance.rb'
63
+ require_relative './sdlmodule/sdlmodule_varible.rb'
64
+ require_relative "./sdlmodule/sdlmodule_vcs_comptable.rb"
65
+ # require_relative './sdlmodule/sdlmodule_varible_ex.rb'
66
+ require_relative './sdlmodule/sdlmodule_arraychain.rb'
67
+ require_relative './sdlmodule/techbench_module'
68
+ require_relative './sdlmodule/top_module'
69
+ require_relative './sdlmodule/generator_block_module'
70
+
71
+ ## 补充 sdlmodule_arraychain
72
+ require_relative "./class_hdl/hdl_function.rb"
73
+ require_relative "./class_hdl/hdl_ex_defarraychain.rb"
74
+
75
+ ## 添加新的重建接口
76
+ require_relative "./rebuild_ele/ele_base.rb"
77
+ require_relative "./rebuild_ele/axi_stream.rb"
78
+ require_relative "./rebuild_ele/axi4.rb"
79
+ require_relative "./rebuild_ele/data_inf.rb"
80
+ require_relative "./rebuild_ele/data_inf_c.rb"
81
+ require_relative "./rebuild_ele/axi_lite.rb"
82
+ require_relative "./rebuild_ele/cm_ram_inf_define.rb"
83
+
84
+ require_relative "./bfm/axi_stream/axi_stream_bfm.rb"
85
+
86
+ require_relative "./exlib/constraints"
87
+ require_relative "./exlib/constraints_verb"
88
+ require_relative "./exlib/itegration"
89
+ require_relative "./exlib/itegration_verb"
90
+ require_relative "./exlib/parse_argv"
91
+ # require_relative "./tdlmodule_ex"
92
+ require_relative "./SDL/path_lib" # require sdlmodule
93
+
94
+ ## 添加 M2S方法
95
+ require_relative "./axi_stream/axi_stream_interconnect.rb"
96
+ require_relative "./data_inf/data_c_interconnect.rb"
97
+ require_relative "./axi4/axi4_interconnect_verb.rb"
98
+
99
+ ## add sdl implement
100
+ require_relative "./sdlimplement/sdl_impl_param.rb"
101
+ require_relative "./sdlimplement/sdl_impl_module.rb"
102
+
103
+ ## 定义直接对 HDL的引用 基于 autogensdl
104
+ require_relative "./auto_script/import_hdl.rb"
105
+ ## 定义直接引用 TDL Module
106
+ require_relative "./auto_script/import_sdl.rb"
107
+
108
+ ## 信号添加测试点
109
+ require_relative "./exlib/test_point.rb"
110
+ ## 添加测试用例
111
+ # require_relative "./exlib/sdlmodule_sim.rb"
112
+ require_relative "./sdlmodule/test_unit_module.rb"
113
+
114
+ ## 添加 DVE TCL 支持
115
+ require_relative "./exlib/dve_tcl.rb"
116
+
117
+ ## --- INIT BLOCK Methods -----
118
+ # AutoGenSdl.add_inf_parse TrackInf.method(:parse_ports)
119
+ # SdlInst.add_inst_t0_method TrackInf.method(:sdlinst_t0)
120
+
121
+ # AutoGenSdl.add_inf_parse CommonCFGReg.method(:parse_ports)
122
+ # SdlInst.add_inst_t0_method CommonCFGReg.method(:sdlinst_t0)
123
+
124
+ ## === INIT BLOCK Methods =====
125
+ $argvs_hash = {}
126
+ $argvs_hash = Parser.parse(ARGV) unless $_child_argv_
127
+ TopModule.sim = $argvs_hash[:sim]
128
+
129
+ class Tdl
130
+
131
+ def self.comment(c="-",info="_____")
132
+ "\n//#{c*4}>> #{info} <<#{c*40}\n"
133
+ end
134
+ end
135
+
136
+ class Tdl
137
+ @@Axi4Path = 'E:\work\AXI'
138
+
139
+ def self.Axi4Path
140
+ @@Axi4Path
141
+ end
142
+
143
+ def self.Axi4Path=(a)
144
+ TdlError.new("#{a.to_s} is not exist") unless File.exist? a
145
+ @@Axi4Path = a
146
+ end
147
+
148
+ end
149
+
150
+ def require_axi4path(a)
151
+ require_relative File.join(Tdl.Axi4Path,a)
152
+ end
153
+
154
+ ## second load lib
155
+ # require_relative "./bfm/bfm_lib" ## test
156
+ # require_relative "./exlib/common_cfg_reg_inf"
157
+
158
+ class Tdl # add file paths
159
+
160
+ def self.add_to_all_file_paths(a,b)
161
+
162
+ unless b
163
+ raise TdlError.new("FilePath Path[#{b}] can be nil")
164
+ end
165
+
166
+ @@all_file_paths ||= Hash.new
167
+ if @@all_file_paths.keys.include? a
168
+ if @@all_file_paths[a] != b
169
+ raise TdlError.new("FilePath confuse, Module <<#{a}>> in tow paths <<#{@@all_file_paths[a]}>>,<<#{b}>>")
170
+ end
171
+ else
172
+ @@all_file_paths[a] = b
173
+ end
174
+ end
175
+
176
+ def self.all_file_paths
177
+ @@all_file_paths ||= Hash.new
178
+ end
179
+
180
+
181
+ end
182
+
183
+ # require_relative "./exlib/integral_test/integral_test" #test
184
+ # require_relative './exlib/integral_test/clock_itest' #test
185
+ # require_path_and_ignore(File.join(__dir__,'\exlib\integral_test'),File.join(__dir__,'\exlib\integral_test\auto')) #test
186
+ # require_relative "./exlib/common_cfg_reg_inf"
187
+
188
+ class Tdl
189
+ @@puts_enable = $argvs_hash[:info]
190
+ @@build_tdlmodule_collect = []
191
+ @@build_sdlmodule_collect = []
192
+ @@warning_collect = []
193
+
194
+ def self.PutsEnable=(a)
195
+ @@puts_enable = a
196
+ end
197
+
198
+ def self.PutsEnable
199
+ @@puts_enable
200
+ end
201
+
202
+ def self.Puts(*args)
203
+ puts args if @@puts_enable
204
+ end
205
+
206
+ def self.Build_TdlModule_Puts(args)
207
+ return unless @@puts_enable
208
+ @@build_tdlmodule_collect << args
209
+ end
210
+
211
+ def self.Build_SdlModule_Puts(args)
212
+ return unless @@puts_enable
213
+ @@build_sdlmodule_collect << args
214
+ end
215
+
216
+ def self.warning(argv,filename=nil,line=nil)
217
+ # if line
218
+ # argv = "\n LINE[#{line}]\n >>>#{argv}"
219
+ # end
220
+
221
+ if filename
222
+ argv = "\n [FILE] #{filename}(#{line})\n >>>>#{argv}"
223
+ end
224
+
225
+ @@warning_collect << argv
226
+ end
227
+
228
+ ## log puts
229
+
230
+ def self.log_array(info,ay)
231
+ if ay.any?
232
+ Tdl.Puts pagination(info)
233
+ ay.each_index do |index|
234
+ puts "[#{index}] : #{ay[index].to_s}"
235
+ end
236
+ end
237
+ end
238
+
239
+ def self.puts_log
240
+ return unless @@puts_enable
241
+ if @@build_tdlmodule_collect.empty? && @@build_sdlmodule_collect.empty? && @@warning_collect.empty?
242
+ return
243
+ end
244
+ ##
245
+ self.log_array("LOG FOR GEN TDLMOUDLE",@@build_tdlmodule_collect)
246
+ self.log_array("LOG FOR GEN SDLMOUDLE",@@build_sdlmodule_collect)
247
+ self.log_array("LOG OF WARNING",@@warning_collect)
248
+ # puts(page(tag: "SUMMARY" ,body: "RUN @ TIME : #{Time.now}"))
249
+ puts(pagination("TEST POINT"))
250
+ puts TdlTestPoint.echo_list
251
+ # puts(pagination("SIM TEST"))
252
+ # puts TdlSimTest::TdlBaseTestUnit.echo_prj_test_list
253
+ puts(pagination("TEST UNIT")) if TopModule.current
254
+ puts TopModule.current.test_unit.echo_units if TopModule.current
255
+ puts(pagination("SUMMARY"))
256
+ puts "#{TopModule.sim ? 'SIM' : 'SYNTH'} RUN SPEND #{Time.now - $__start_time__} sec @ TIME : #{Time.now}"
257
+ end
258
+
259
+ end
260
+
261
+ # require_relative "./SDL/path_lib" # require sdlmodule
262
+
263
+ at_exit {
264
+ Tdl.puts_log
265
+ }
@@ -0,0 +1,8 @@
1
+ class TdlError < ScriptError
2
+ def initialize(arge)
3
+ head_str0 = String.new("\n+_____________________________________________+\n")
4
+ head_str1 = "\n|----------------TDL ERROR--------------------|\n"
5
+ end_str0 = "\n+================TDL ERROR====================+\n"
6
+ super(head_str0.concat(head_str1).concat(arge.to_s[0,255]+end_str0))
7
+ end
8
+ end
@@ -0,0 +1,4 @@
1
+ require_relative 'test_array_chain.rb'
2
+ require 'test/unit/ui/console/testrunner'
3
+
4
+ Test::Unit::UI::Console::TestRunner.run(TestArrayChain)
@@ -0,0 +1,89 @@
1
+ require 'test/unit'
2
+ require_relative '../tdl.rb'
3
+
4
+
5
+ class TestArrayChain < Test::Unit::TestCase
6
+ include Test::Unit::Assertions
7
+
8
+ def setup
9
+ Tdl.PutsEnable = false
10
+ end
11
+
12
+ def test_0
13
+ sm = SdlModule.new(name: "test_new_sdl",out_sv_path: File.join(__dir__,"tmp"))
14
+
15
+ sm.instance_exec(self) do |ts|
16
+ DataInf_C().slaver :ainf,dimension:[8,6,5]
17
+ puts align_signal(ainf)
18
+ ts.assert_instance_of(TdlSpace::ArrayChain,ainf[0],"Array Chain Class Error")
19
+ ts.assert_equal(ainf[0][4][4,0].to_s,"ainf[0][4][4:0]".to_nq,"Array[0][4][4:0] Error")
20
+ ts.assert_equal(ainf[3].to_s,"ainf[3]".to_nq,"Array[3] Error")
21
+ ts.assert_instance_of(NqString,ainf[3].to_s,"返回ArrayChain类型不对")
22
+ ts.assert_raises(TdlError,"Chain Error slice raise Failse") do
23
+ ainf[0][4,3][4,0]
24
+ end
25
+ end
26
+
27
+ sm.gen_sv_module
28
+ end
29
+
30
+ def test_1
31
+ sm = SdlModule.new(name: "test_new_sdl_2",out_sv_path: File.join(__dir__,"tmp"))
32
+
33
+ sm.instance_exec(self) do |ts|
34
+ DataInf_C().slaver :ainf,dimension:[8,6,5]
35
+ Def().logic(name:"tmp",dsize:1,dimension:[ 2,3,4])
36
+ # ainf.valid
37
+ # puts tmp[0][1][1]
38
+ ts.assert_equal(tmp[0][1][2],'tmp[0][1][2]'.to_nq,"Array Chain of Logic 选择出错")
39
+ ts.assert_equal(ainf.valid[0][2][1],'ainf.valid[0][2][1]'.to_nq,"ArrayChain of interface 选择出错")
40
+
41
+ ts.assert_equal(ainf[0][1][2].valid,"ainf[0][1][2].valid".to_nq,"ArrayChain of interface method 选择出错")
42
+ ts.assert_equal(ainf.valid[0][1][2],"ainf.valid[0][1][2]".to_nq,"ArrayChain of interface method 选择出错")
43
+
44
+
45
+ # Assign do
46
+ # tmp[1][1][1] <= ainf.valid[0][2][1]
47
+ # # "op".to_nq.<=()
48
+ # end
49
+ ts.assert_raises(TdlError,"错误选择"){ tmp[2,0][2] }
50
+ ts.assert_raises(TdlError,"错误选择"){ ainf[2,0][2] }
51
+ ts.assert_raises(TdlError,"错误选择"){ tmp[2,0][2] }
52
+ ts.assert_raises(NoMethodError,"错误选择"){ tmp[2][2].valid }
53
+ ts.assert_raises(TdlError,"错误选择"){ ainf[0][1,0].valid }
54
+ ts.assert_raises(TdlError,"错误选择"){ ainf.valid[2,0][2] }
55
+ # ts.assert_raises(TdlError,"错误选择"){ ainf.valid[0][1,0] }
56
+
57
+ ts.assert_nothing_raised("正确的选择") do
58
+ Assign do
59
+ tmp[1][1][1] <= ainf.valid[1][2][3]
60
+ ainf[0][0][1].valid <= tmp[2][2][0]
61
+ end
62
+ end
63
+ end
64
+
65
+ sm.gen_sv_module
66
+ end
67
+
68
+ def test_2
69
+ sm = SdlModule.new(name: "test_new_sdl_3",out_sv_path: File.join(__dir__,"tmp"))
70
+ sm.instance_exec(self) do |ts|
71
+ DataInf_C().slaver :ainf,dimension:[8,6,5]
72
+ Def().logic(name:"tmp",dsize:1,dimension:[ 2,3,4])
73
+
74
+ ts.assert_nothing_raised("Correct Select") do
75
+ Assign do
76
+ tmp[1][1][1] <= ainf.valid[1][2][3]
77
+ ainf[0][0][1].valid <= tmp[2][2][0]
78
+ ainf[0][0][1].data <= 90
79
+ ainf.data[0][1][2] <= tmp[1][2][1,0]
80
+ ainf[0][0][3].ready <= 1
81
+ ainf.ready[2][2][1] <= 1
82
+ end
83
+ end
84
+ end
85
+ sm.gen_sv_module
86
+
87
+ end
88
+
89
+ end
@@ -0,0 +1,47 @@
1
+ require_relative '../tdl.rb'
2
+ sm = SdlModule.new(name: "test_new_sdl_tmp",out_sv_path: File.join(__dir__,"tmp"))
3
+ Tdl.PutsEnable = true
4
+ sm.instance_exec(self) do |ts|
5
+ DataInf_C().slaver :ainf,dimension:[8,6,5]
6
+ Def().logic(name:"tmp",dsize:1,dimension:[ 2,3,4])
7
+
8
+ ## 定义logic
9
+ logic[9,2,1] - 'tmp0'
10
+ logic - 'tmp1'
11
+ ## 定义 data_inf_c
12
+ data_inf_c(clock: "dclk",reset: "drstn",dsize:8,freqM: 101) - 'a_inf'
13
+ data_inf_c.-('b_inf',clock:"dclk",reset:"drstn",dsize:8,freqM:101 )
14
+ data_inf_c(clock: "dclk",reset: "drstn",dsize:8,freqM: 101)[3,7,8] - 'c_inf'
15
+ ## 定义 data_inf
16
+ data_inf(dsize: 6) - "d_inf"
17
+ data_inf(dsize: 3)[9,8] - "e_inf"
18
+ ## 定义 axi_stream
19
+ axi_stream_inf(dsize: 8,clock: "aclk",reset: "aresetn",freqM: 102) - "f_inf"
20
+ axi_stream_inf(dsize: 8,clock: "aclk",reset: "aresetn",freqM: 102)[2,2] - "g_inf"
21
+ ## 定义 axilite
22
+ axi_lite_inf(dsize: 32,asize: 32,mode: AxiLite::BOTH,freqM: 103,clock: "axi_aclk",reset: "axi_aresetn") - "h_inf"
23
+ # axi_lite_inf(dsize: 32,asize: 32,mode: AxiLite::BOTH,freqM: 103,clock: "axi_aclk",reset: "axi_aresetn")[32] - "h_inf"
24
+ ## 定义 axi4
25
+ axi_inf(
26
+ idsize: 3,
27
+ lsize: 10,
28
+ dsize: 32,
29
+ asize: 32,
30
+ addr_step: 4082,
31
+ mode: AxiLite::BOTH,
32
+ freqM: 103,clock: "axi_aclk",
33
+ reset: "axi_aresetn") - "i_inf"
34
+
35
+ axi_inf(
36
+ idsize: 3,
37
+ lsize: 10,
38
+ dsize: 32,
39
+ asize: 32,
40
+ addr_step: 4082,
41
+ mode: AxiLite::BOTH,
42
+ freqM: 103,clock: "axi_aclk",
43
+ reset: "axi_aresetn")[9][5][3] - "j_inf"
44
+
45
+ end
46
+
47
+ sm.gen_sv_module
metadata ADDED
@@ -0,0 +1,1301 @@
1
+ --- !ruby/object:Gem::Specification
2
+ name: axi_tdl
3
+ version: !ruby/object:Gem::Version
4
+ version: 0.0.2
5
+ platform: ruby
6
+ authors:
7
+ - Cook.Darwin
8
+ autorequire:
9
+ bindir: exe
10
+ cert_chain: []
11
+ date: 2021-02-19 00:00:00.000000000 Z
12
+ dependencies:
13
+ - !ruby/object:Gem::Dependency
14
+ name: bundler
15
+ requirement: !ruby/object:Gem::Requirement
16
+ requirements:
17
+ - - "~>"
18
+ - !ruby/object:Gem::Version
19
+ version: '1.16'
20
+ type: :development
21
+ prerelease: false
22
+ version_requirements: !ruby/object:Gem::Requirement
23
+ requirements:
24
+ - - "~>"
25
+ - !ruby/object:Gem::Version
26
+ version: '1.16'
27
+ - !ruby/object:Gem::Dependency
28
+ name: rake
29
+ requirement: !ruby/object:Gem::Requirement
30
+ requirements:
31
+ - - "~>"
32
+ - !ruby/object:Gem::Version
33
+ version: '10.0'
34
+ type: :development
35
+ prerelease: false
36
+ version_requirements: !ruby/object:Gem::Requirement
37
+ requirements:
38
+ - - "~>"
39
+ - !ruby/object:Gem::Version
40
+ version: '10.0'
41
+ - !ruby/object:Gem::Dependency
42
+ name: rspec
43
+ requirement: !ruby/object:Gem::Requirement
44
+ requirements:
45
+ - - ">="
46
+ - !ruby/object:Gem::Version
47
+ version: '0'
48
+ type: :development
49
+ prerelease: false
50
+ version_requirements: !ruby/object:Gem::Requirement
51
+ requirements:
52
+ - - ">="
53
+ - !ruby/object:Gem::Version
54
+ version: '0'
55
+ - !ruby/object:Gem::Dependency
56
+ name: pry
57
+ requirement: !ruby/object:Gem::Requirement
58
+ requirements:
59
+ - - ">="
60
+ - !ruby/object:Gem::Version
61
+ version: '0'
62
+ type: :development
63
+ prerelease: false
64
+ version_requirements: !ruby/object:Gem::Requirement
65
+ requirements:
66
+ - - ">="
67
+ - !ruby/object:Gem::Version
68
+ version: '0'
69
+ - !ruby/object:Gem::Dependency
70
+ name: minitest
71
+ requirement: !ruby/object:Gem::Requirement
72
+ requirements:
73
+ - - ">="
74
+ - !ruby/object:Gem::Version
75
+ version: '0'
76
+ type: :development
77
+ prerelease: false
78
+ version_requirements: !ruby/object:Gem::Requirement
79
+ requirements:
80
+ - - ">="
81
+ - !ruby/object:Gem::Version
82
+ version: '0'
83
+ description: 'tdl is a hardware Construction language, it like chisel, but more intresting.
84
+ It is a DSL and base on ruby. Finally, it convert to systemverilog. '
85
+ email:
86
+ - cook_darwin@hotmail.com
87
+ executables: []
88
+ extensions: []
89
+ extra_rdoc_files: []
90
+ files:
91
+ - ".gitignore"
92
+ - CODE_OF_CONDUCT.md
93
+ - Gemfile
94
+ - Gemfile.lock
95
+ - LICENSE
96
+ - README.md
97
+ - Rakefile
98
+ - axi_tdl.gemspec
99
+ - bin/console
100
+ - bin/setup
101
+ - lib/.rspec
102
+ - lib/axi/AXI4/axi4_combin_wr_rd_batch.sv
103
+ - lib/axi/AXI4/axi4_direct.sv
104
+ - lib/axi/AXI4/axi4_direct_A1.sv
105
+ - lib/axi/AXI4/axi4_direct_B1.sv
106
+ - lib/axi/AXI4/axi4_direct_verb.sv
107
+ - lib/axi/AXI4/axi4_direct_verc.sv
108
+ - lib/axi/AXI4/axi4_dpram_cache.rb
109
+ - lib/axi/AXI4/axi4_dpram_cache.sv
110
+ - lib/axi/AXI4/axi4_long_to_axi4_wide.sv
111
+ - lib/axi/AXI4/axi4_long_to_axi4_wide_A1.sv
112
+ - lib/axi/AXI4/axi4_long_to_axi4_wide_track.sv
113
+ - lib/axi/AXI4/axi4_long_to_axi4_wide_verb.sv
114
+ - lib/axi/AXI4/axi4_pipe/axi4_pipe.sv
115
+ - lib/axi/AXI4/axi4_pipe/axi4_pipe_verb.sv
116
+ - lib/axi/AXI4/axi4_pipe/axi4_rd_pipe.sv
117
+ - lib/axi/AXI4/axi4_pipe/axi4_rd_pipe_verb.sv
118
+ - lib/axi/AXI4/axi4_pipe/axi4_wr_pipe.sv
119
+ - lib/axi/AXI4/axi4_pipe/axi4_wr_pipe_verb.sv
120
+ - lib/axi/AXI4/axi4_rd_auxiliary_batch_gen.sv
121
+ - lib/axi/AXI4/axi4_rd_auxiliary_gen.sv
122
+ - lib/axi/AXI4/axi4_rd_auxiliary_gen_A1.sv
123
+ - lib/axi/AXI4/axi4_rd_burst_track.sv
124
+ - lib/axi/AXI4/axi4_wr_aux_bind_data.sv
125
+ - lib/axi/AXI4/axi4_wr_auxiliary_batch_gen.sv
126
+ - lib/axi/AXI4/axi4_wr_auxiliary_gen.sv
127
+ - lib/axi/AXI4/axi4_wr_auxiliary_gen_without_resp.sv
128
+ - lib/axi/AXI4/axi4_wr_burst_track.sv
129
+ - lib/axi/AXI4/axi_stream_add_addr_len.sv
130
+ - lib/axi/AXI4/axi_stream_to_axi4_wr.sv
131
+ - lib/axi/AXI4/axi_stream_to_axi4_wr_verb.sv.bak
132
+ - lib/axi/AXI4/axis_to_axi4_wr.rb
133
+ - lib/axi/AXI4/axis_to_axi4_wr.sv
134
+ - lib/axi/AXI4/full_axi4_to_axis.sv
135
+ - lib/axi/AXI4/full_axi4_to_axis_partition_wr_rd.sv
136
+ - lib/axi/AXI4/id_record.sv
137
+ - lib/axi/AXI4/idata_pool_axi4.sv
138
+ - lib/axi/AXI4/interconnect/AXI4_interconnect_M2S.sv
139
+ - lib/axi/AXI4/interconnect/axi4_mix_interconnect_M2S.sv
140
+ - lib/axi/AXI4/interconnect/axi4_rd_interconnect_M2S.sv
141
+ - lib/axi/AXI4/interconnect/axi4_rd_mix_interconnect_M2S.sv
142
+ - lib/axi/AXI4/interconnect/axi4_rd_mix_interconnect_M2S.sv.bak
143
+ - lib/axi/AXI4/interconnect/axi4_rd_mix_interconnect_M2S_A1.sv
144
+ - lib/axi/AXI4/interconnect/axi4_rd_mix_interconnect_M2S_A2.sv
145
+ - lib/axi/AXI4/interconnect/axi4_wr_interconnect_M2S.sv
146
+ - lib/axi/AXI4/interconnect/axi4_wr_interconnect_M2S_A1.sv
147
+ - lib/axi/AXI4/interconnect/axi4_wr_mix_interconnect_M2S.sv
148
+ - lib/axi/AXI4/long_axi4_to_wide_axi4.sv.bak
149
+ - lib/axi/AXI4/odata_pool_axi4.sv
150
+ - lib/axi/AXI4/odata_pool_axi4_A1.sv
151
+ - lib/axi/AXI4/odata_pool_axi4_A2.sv
152
+ - lib/axi/AXI4/odata_pool_axi4_A3.sv
153
+ - lib/axi/AXI4/packet_fifo/axi4_packet_fifo.sv
154
+ - lib/axi/AXI4/packet_fifo/axi4_rd_packet_fifo.sv
155
+ - lib/axi/AXI4/packet_fifo/axi4_wr_packet_fifo.sv
156
+ - lib/axi/AXI4/packet_merge/axi4_merge.sv
157
+ - lib/axi/AXI4/packet_merge/axi4_merge_rd.sv
158
+ - lib/axi/AXI4/packet_merge/axi4_merge_wr.sv
159
+ - lib/axi/AXI4/packet_partition/axi4_partition.sv
160
+ - lib/axi/AXI4/packet_partition/axi4_partition_OD.sv
161
+ - lib/axi/AXI4/packet_partition/axi4_partition_rd.sv
162
+ - lib/axi/AXI4/packet_partition/axi4_partition_rd_OD.sv
163
+ - lib/axi/AXI4/packet_partition/axi4_partition_rd_verb.rb
164
+ - lib/axi/AXI4/packet_partition/axi4_partition_rd_verb.sv
165
+ - lib/axi/AXI4/packet_partition/axi4_partition_wr.sv
166
+ - lib/axi/AXI4/packet_partition/axi4_partition_wr_OD.sv
167
+ - lib/axi/AXI4/packet_partition/data_inf_partition.rb
168
+ - lib/axi/AXI4/packet_partition/data_inf_partition.sv
169
+ - lib/axi/AXI4/vcs_axi4_array_comptable.sv
170
+ - lib/axi/AXI4/vcs_axi4_comptable.sv
171
+ - lib/axi/AXI4/wide_axis_to_axi4_wr.rb
172
+ - lib/axi/AXI4/wide_axis_to_axi4_wr.sv
173
+ - lib/axi/AXI4/width_convert/axi4_data_combin_aflag_pipe.sv
174
+ - lib/axi/AXI4/width_convert/axi4_data_combin_aflag_pipe_A1.sv
175
+ - lib/axi/AXI4/width_convert/axi4_data_convert.sv
176
+ - lib/axi/AXI4/width_convert/axi4_data_convert_A1.sv
177
+ - lib/axi/AXI4/width_convert/data_combin.sv
178
+ - lib/axi/AXI4/width_convert/data_combin.sv.bak
179
+ - lib/axi/AXI4/width_convert/data_destruct.sv
180
+ - lib/axi/AXI4/width_convert/feed_check.sv
181
+ - lib/axi/AXI4/width_convert/len_convert.sv.bak
182
+ - lib/axi/AXI4/width_convert/odd_width_convert.sv
183
+ - lib/axi/AXI4/width_convert/odd_width_convert_verb.sv
184
+ - lib/axi/AXI4/width_convert/simple_data_pipe.sv
185
+ - lib/axi/AXI4/width_convert/simple_data_pipe_slaver.sv
186
+ - lib/axi/AXI4/width_convert/width_combin.sv
187
+ - lib/axi/AXI4/width_convert/width_convert.sv
188
+ - lib/axi/AXI4/width_convert/width_convert_verb.sv
189
+ - lib/axi/AXI4/width_convert/width_destruct.sv
190
+ - lib/axi/AXI4/width_convert/width_destruct_A1.sv
191
+ - lib/axi/AXI_BFM/AXI_BFM_PKG.sv
192
+ - lib/axi/AXI_BFM/Data_C_BFM_PKG.sv
193
+ - lib/axi/AXI_BFM/axi4_error_chk.sv
194
+ - lib/axi/AXI_BFM/axi4_illegal_bfm_pkg.sv
195
+ - lib/axi/AXI_BFM/axi_lite_master.sv
196
+ - lib/axi/AXI_BFM/axi_lite_tb.sv
197
+ - lib/axi/AXI_BFM/axi_master.sv
198
+ - lib/axi/AXI_BFM/axi_mirror.sv
199
+ - lib/axi/AXI_BFM/axi_mm_tb.sv
200
+ - lib/axi/AXI_BFM/axi_slaver.sv.bak
201
+ - lib/axi/AXI_BFM/axistreambfm.sv
202
+ - lib/axi/AXI_Lite/axi4_to_lite.sv
203
+ - lib/axi/AXI_Lite/axi_lite_configure.sv
204
+ - lib/axi/AXI_Lite/axi_lite_configure_inf2.sv
205
+ - lib/axi/AXI_Lite/axi_lite_configure_verb.sv.bck
206
+ - lib/axi/AXI_Lite/axi_lite_interconnect_M2S.sv
207
+ - lib/axi/AXI_Lite/axi_lite_interconnect_S2M.sv
208
+ - lib/axi/AXI_Lite/axi_lite_interconnect_S2M.sv.bak
209
+ - lib/axi/AXI_Lite/axi_lite_master_empty.sv
210
+ - lib/axi/AXI_Lite/axi_lite_slaver_empty.sv
211
+ - lib/axi/AXI_Lite/axil_direct.sv
212
+ - lib/axi/AXI_Lite/common_configure_reg_interface/common_configure_reg_interface.sv
213
+ - lib/axi/AXI_Lite/common_configure_reg_interface/common_configure_reg_interface.sv.bak
214
+ - lib/axi/AXI_Lite/common_configure_reg_interface/jtag_to_axilite_wrapper.sv
215
+ - lib/axi/AXI_Lite/gen_axi_lite_ctrl.sv
216
+ - lib/axi/AXI_Lite/gen_axi_lite_ctrl_C1.sv
217
+ - lib/axi/AXI_Lite/gen_axi_lite_ctrl_verb.sv
218
+ - lib/axi/AXI_Lite/gen_axi_lite_ctrl_verc.sv
219
+ - lib/axi/AXI_Lite/wr_lite_to_axis.sv
220
+ - lib/axi/AXI_Lite/wr_lite_to_axis.sv.bak
221
+ - lib/axi/AXI_stream/axi_stream_interconnect_M2S.sv
222
+ - lib/axi/AXI_stream/axi_stream_interconnect_M2S_A1.sv
223
+ - lib/axi/AXI_stream/axi_stream_interconnect_M2S_A2.sv
224
+ - lib/axi/AXI_stream/axi_stream_interconnect_M2S_bind_tuser.sv
225
+ - lib/axi/AXI_stream/axi_stream_interconnect_M2S_noaddr.sv
226
+ - lib/axi/AXI_stream/axi_stream_interconnect_M2S_with_addr.sv
227
+ - lib/axi/AXI_stream/axi_stream_interconnect_S2M.sv
228
+ - lib/axi/AXI_stream/axi_stream_interconnect_S2M_auto.sv
229
+ - lib/axi/AXI_stream/axi_stream_interconnect_S2M_with_info.sv
230
+ - lib/axi/AXI_stream/axi_stream_interconnect_S2M_with_keep.sv.bak
231
+ - lib/axi/AXI_stream/axi_stream_packet_fifo_with_info.sv.bak
232
+ - lib/axi/AXI_stream/axi_stream_partition.sv
233
+ - lib/axi/AXI_stream/axi_stream_partition_A1.sv
234
+ - lib/axi/AXI_stream/axi_stream_planer.sv
235
+ - lib/axi/AXI_stream/axi_stream_split_channel.rb
236
+ - lib/axi/AXI_stream/axi_stream_split_channel.sv
237
+ - lib/axi/AXI_stream/axi_streams_combin.sv
238
+ - lib/axi/AXI_stream/axi_streams_combin_A1.sv
239
+ - lib/axi/AXI_stream/axi_streams_scaler.sv
240
+ - lib/axi/AXI_stream/axi_streams_scaler_A1.sv
241
+ - lib/axi/AXI_stream/axis_append.sv
242
+ - lib/axi/AXI_stream/axis_append_A1.sv
243
+ - lib/axi/AXI_stream/axis_base_pipe.sv
244
+ - lib/axi/AXI_stream/axis_combin_with_fifo.sv
245
+ - lib/axi/AXI_stream/axis_connect_pipe.sv
246
+ - lib/axi/AXI_stream/axis_connect_pipe_A1.sv.bak
247
+ - lib/axi/AXI_stream/axis_connect_pipe_left_shift.sv
248
+ - lib/axi/AXI_stream/axis_connect_pipe_right_shift.sv
249
+ - lib/axi/AXI_stream/axis_connect_pipe_right_shift_verb.sv
250
+ - lib/axi/AXI_stream/axis_connect_pipe_with_info.sv
251
+ - lib/axi/AXI_stream/axis_direct.sv
252
+ - lib/axi/AXI_stream/axis_direct_A1.sv
253
+ - lib/axi/AXI_stream/axis_filter.sv
254
+ - lib/axi/AXI_stream/axis_full_to_data_c.sv
255
+ - lib/axi/AXI_stream/axis_head_cut.sv
256
+ - lib/axi/AXI_stream/axis_head_cut_verb.sv
257
+ - lib/axi/AXI_stream/axis_head_cut_verc.rb
258
+ - lib/axi/AXI_stream/axis_head_cut_verc.sv
259
+ - lib/axi/AXI_stream/axis_inct_s2m_with_flag.sv
260
+ - lib/axi/AXI_stream/axis_insert_copy.rb
261
+ - lib/axi/AXI_stream/axis_insert_copy.sv
262
+ - lib/axi/AXI_stream/axis_intc_M2S_with_addr_inf.sv
263
+ - lib/axi/AXI_stream/axis_intc_S2M_with_addr_inf.sv
264
+ - lib/axi/AXI_stream/axis_interconnect_S2M_pipe.sv
265
+ - lib/axi/AXI_stream/axis_length_cut.sv
266
+ - lib/axi/AXI_stream/axis_length_fill.sv
267
+ - lib/axi/AXI_stream/axis_length_split.sv
268
+ - lib/axi/AXI_stream/axis_length_split_with_addr.sv
269
+ - lib/axi/AXI_stream/axis_length_split_writh_user.sv
270
+ - lib/axi/AXI_stream/axis_link_trigger.sv
271
+ - lib/axi/AXI_stream/axis_master_empty.sv
272
+ - lib/axi/AXI_stream/axis_mirror_to_master.sv
273
+ - lib/axi/AXI_stream/axis_mirrors.sv
274
+ - lib/axi/AXI_stream/axis_orthogonal.sv
275
+ - lib/axi/AXI_stream/axis_ram_buffer.sv
276
+ - lib/axi/AXI_stream/axis_rom_contect.rb
277
+ - lib/axi/AXI_stream/axis_rom_contect.sv
278
+ - lib/axi/AXI_stream/axis_rom_contect_sim.rb
279
+ - lib/axi/AXI_stream/axis_rom_contect_sim.sv
280
+ - lib/axi/AXI_stream/axis_slaver_empty.sv
281
+ - lib/axi/AXI_stream/axis_slaver_pipe.sv
282
+ - lib/axi/AXI_stream/axis_slaver_pipe_A1.sv
283
+ - lib/axi/AXI_stream/axis_slaver_vector_empty.sv
284
+ - lib/axi/AXI_stream/axis_split_channel_verb.rb
285
+ - lib/axi/AXI_stream/axis_split_channel_verb.sv
286
+ - lib/axi/AXI_stream/axis_to_axi4_or_lite.rb
287
+ - lib/axi/AXI_stream/axis_to_axi4_or_lite.sv
288
+ - lib/axi/AXI_stream/axis_to_data_inf.sv
289
+ - lib/axi/AXI_stream/axis_to_lite_rd.sv
290
+ - lib/axi/AXI_stream/axis_to_lite_wr.sv
291
+ - lib/axi/AXI_stream/axis_uncompress.sv
292
+ - lib/axi/AXI_stream/axis_uncompress_A1.sv
293
+ - lib/axi/AXI_stream/axis_uncompress_verb.rb
294
+ - lib/axi/AXI_stream/axis_uncompress_verb.sv
295
+ - lib/axi/AXI_stream/axis_valve.sv
296
+ - lib/axi/AXI_stream/axis_valve_with_pipe.sv
297
+ - lib/axi/AXI_stream/axis_vector_master_empty.rb
298
+ - lib/axi/AXI_stream/axis_vector_master_empty.sv
299
+ - lib/axi/AXI_stream/axis_vector_slaver_empty.rb
300
+ - lib/axi/AXI_stream/axis_vector_slaver_empty.sv
301
+ - lib/axi/AXI_stream/check_stream_crc.sv
302
+ - lib/axi/AXI_stream/data_c_to_axis_full.sv
303
+ - lib/axi/AXI_stream/data_to_axis_inf.sv
304
+ - lib/axi/AXI_stream/data_to_axis_inf_A1.sv
305
+ - lib/axi/AXI_stream/data_width/axis_width_combin.sv
306
+ - lib/axi/AXI_stream/data_width/axis_width_combin_A1.sv
307
+ - lib/axi/AXI_stream/data_width/axis_width_convert.sv
308
+ - lib/axi/AXI_stream/data_width/axis_width_destruct.sv
309
+ - lib/axi/AXI_stream/data_width/axis_width_destruct_A1.sv
310
+ - lib/axi/AXI_stream/ex_status/axis_ex_status.sv
311
+ - lib/axi/AXI_stream/gen_big_field_table.sv
312
+ - lib/axi/AXI_stream/gen_common_frame_table.sv
313
+ - lib/axi/AXI_stream/gen_common_frame_table_bind_tuser.sv
314
+ - lib/axi/AXI_stream/gen_origin_axis.sv
315
+ - lib/axi/AXI_stream/gen_origin_axis_A1.sv
316
+ - lib/axi/AXI_stream/gen_origin_axis_A2.sv
317
+ - lib/axi/AXI_stream/gen_simple_axis.sv
318
+ - lib/axi/AXI_stream/packet_fifo/axi_stream_long_fifo.sv
319
+ - lib/axi/AXI_stream/packet_fifo/axi_stream_long_fifo_verb.sv
320
+ - lib/axi/AXI_stream/packet_fifo/axi_stream_packet_fifo.sv
321
+ - lib/axi/AXI_stream/packet_fifo/axi_stream_packet_fifo_B1.sv
322
+ - lib/axi/AXI_stream/packet_fifo/axi_stream_packet_fifo_B1E.sv
323
+ - lib/axi/AXI_stream/packet_fifo/axi_stream_packet_fifo_verb.sv
324
+ - lib/axi/AXI_stream/packet_fifo/axi_stream_packet_fifo_with_info.sv
325
+ - lib/axi/AXI_stream/packet_fifo/axi_stream_packet_long_fifo.sv
326
+ - lib/axi/AXI_stream/packet_fifo/axi_stream_wide_fifo.sv
327
+ - lib/axi/AXI_stream/packet_fifo/axis_pkt_fifo_filter_keep.sv
328
+ - lib/axi/AXI_stream/packet_fifo/axis_pkt_fifo_filter_keep_A1.sv
329
+ - lib/axi/AXI_stream/parse_big_field_table.sv
330
+ - lib/axi/AXI_stream/parse_big_field_table_A1.sv
331
+ - lib/axi/AXI_stream/parse_big_field_table_A2.sv
332
+ - lib/axi/AXI_stream/parse_big_field_table_verb.sv
333
+ - lib/axi/AXI_stream/parse_common_frame_table.sv
334
+ - lib/axi/AXI_stream/parse_common_frame_table_A1.sv
335
+ - lib/axi/AXI_stream/parse_common_frame_table_A2.sv
336
+ - lib/axi/AXI_stream/stream_cache/axi_stream_cache.sv
337
+ - lib/axi/AXI_stream/stream_cache/axi_stream_cache_35bit.sv
338
+ - lib/axi/AXI_stream/stream_cache/axi_stream_cache_36_71bit.sv
339
+ - lib/axi/AXI_stream/stream_cache/axi_stream_cache_72_95bit.sv
340
+ - lib/axi/AXI_stream/stream_cache/axi_stream_cache_72_95bit_with_keep.sv
341
+ - lib/axi/AXI_stream/stream_cache/axi_stream_cache_96_143bit.sv
342
+ - lib/axi/AXI_stream/stream_cache/axi_stream_cache_A1.sv
343
+ - lib/axi/AXI_stream/stream_cache/axi_stream_cache_B1.sv
344
+ - lib/axi/AXI_stream/stream_cache/axi_stream_cache_mirror.sv
345
+ - lib/axi/AXI_stream/stream_cache/axi_stream_cache_verb.sv
346
+ - lib/axi/AXI_stream/stream_cache/axi_stream_long_cache.sv
347
+ - lib/axi/AXI_stream/stream_crc.sv
348
+ - lib/axi/AXI_stream/vcs_axis_comptable.sv
349
+ - lib/axi/LICENSE
350
+ - lib/axi/ReadME.md
351
+ - lib/axi/SIM/tb_axi4_partition_20201105.sv
352
+ - lib/axi/SIM/tb_axis_bfm_0504.sv
353
+ - lib/axi/SIM/tb_axis_partitiom_0929.sv
354
+ - lib/axi/SIM/tb_axis_s2m_pipe_1023.sv
355
+ - lib/axi/SIM/tb_axis_to_axi4_0925.sv
356
+ - lib/axi/SIM/tb_data_c_m2s_inf_20200114.sv
357
+ - lib/axi/SIM/tb_data_c_m2s_inf_20201103.sv
358
+ - lib/axi/SIM/tb_data_c_pipe_inf_20180417.sv
359
+ - lib/axi/SIM/tb_wide_axis_to_axi4_wr.sv
360
+ - lib/axi/axi4_to_xilinx_ddr_native/axi4_to_native_for_ddr_ip.sv
361
+ - lib/axi/axi4_to_xilinx_ddr_native/axi4_to_native_for_ddr_ip_C1.sv
362
+ - lib/axi/axi4_to_xilinx_ddr_native/axi4_to_native_for_ddr_ip_verb.sv
363
+ - lib/axi/axi4_to_xilinx_ddr_native/axi4_to_native_for_ddr_ip_verc.sv
364
+ - lib/axi/axi4_to_xilinx_ddr_native/ddr3_ip_native_to_axi4.sv
365
+ - lib/axi/axi4_to_xilinx_ddr_native/ddr3_ip_wrapper_sim.sv
366
+ - lib/axi/axi4_to_xilinx_ddr_native/ddr_axi4_to_axis.sv
367
+ - lib/axi/axi4_to_xilinx_ddr_native/ddr_native_fifo.sv
368
+ - lib/axi/axi4_to_xilinx_ddr_native/ddr_native_fifo_A1.sv
369
+ - lib/axi/axi4_to_xilinx_ddr_native/ddr_native_fifo_verb.sv
370
+ - lib/axi/axi4_to_xilinx_ddr_native/model_ddr_ip_app.sv
371
+ - lib/axi/axi4_to_xilinx_ddr_native/tb_ddr3_ip_wrapper_sim.sv
372
+ - lib/axi/cfg.yml
373
+ - lib/axi/common/ClockSameDomain.sv
374
+ - lib/axi/common/common_ram_sim_wrapper.rb
375
+ - lib/axi/common/common_ram_sim_wrapper.sv
376
+ - lib/axi/common/common_ram_wrapper.rb
377
+ - lib/axi/common/common_ram_wrapper.sv
378
+ - lib/axi/common/data_c_interface_dram.rb
379
+ - lib/axi/common/data_c_interface_dram.sv
380
+ - lib/axi/common/mem_format.coe
381
+ - lib/axi/common/pipe_vld.sv
382
+ - lib/axi/common/test_write_mem.sv
383
+ - lib/axi/common/xilinx_hdl_dpram.sv
384
+ - lib/axi/common/xilinx_hdl_dpram_sim.sv
385
+ - lib/axi/common_fifo/common_fifo.sv
386
+ - lib/axi/common_fifo/common_stack.sv
387
+ - lib/axi/common_fifo/independent_clock_fifo.sv
388
+ - lib/axi/common_fifo/independent_clock_fifo_a1.sv
389
+ - lib/axi/common_fifo/independent_stack.sv
390
+ - lib/axi/data_interface/data_connect_pipe.sv
391
+ - lib/axi/data_interface/data_inf_A2B.sv
392
+ - lib/axi/data_interface/data_inf_B2A.sv
393
+ - lib/axi/data_interface/data_inf_c/data_bind.sv
394
+ - lib/axi/data_interface/data_inf_c/data_c_cache.sv
395
+ - lib/axi/data_interface/data_inf_c/data_c_direct.sv
396
+ - lib/axi/data_interface/data_inf_c/data_c_direct_mirror.sv
397
+ - lib/axi/data_interface/data_inf_c/data_c_intc_M2S_force_robin.rb.bak
398
+ - lib/axi/data_interface/data_inf_c/data_c_intc_M2S_force_robin.sv
399
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_force_vld.sv
400
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_force_vld_bind_data.sv
401
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_inf.sv
402
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_inf_A1.sv
403
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_inf_left_shift.sv
404
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_inf_right_shift.sv
405
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_inf_right_shift_verb.sv
406
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_C1.sv
407
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_C1_with_id.sv
408
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_best_last.sv
409
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_best_robin.sv
410
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_robin.sv
411
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_robin_with_id.sv
412
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_verc.sv
413
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_verc_with_addr.sv
414
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_intc_M2S_verc_with_id.sv
415
+ - lib/axi/data_interface/data_inf_c/data_c_pipe_latency.sv
416
+ - lib/axi/data_interface/data_inf_c/data_c_scaler.sv
417
+ - lib/axi/data_interface/data_inf_c/data_c_scaler_A1.sv
418
+ - lib/axi/data_interface/data_inf_c/data_c_tmp_cache.sv
419
+ - lib/axi/data_interface/data_inf_c/data_condition_mirror.sv
420
+ - lib/axi/data_interface/data_inf_c/data_condition_valve.sv
421
+ - lib/axi/data_interface/data_inf_c/data_connect_pipe_inf.sv
422
+ - lib/axi/data_interface/data_inf_c/data_inf_c_M2S_with_addr_and_id.sv
423
+ - lib/axi/data_interface/data_inf_c/data_inf_c_intc_M2S_with_id.sv
424
+ - lib/axi/data_interface/data_inf_c/data_inf_c_intc_S2M.sv
425
+ - lib/axi/data_interface/data_inf_c/data_inf_c_intc_S2M_A1.sv
426
+ - lib/axi/data_interface/data_inf_c/data_inf_c_intc_S2M_with_lazy.sv
427
+ - lib/axi/data_interface/data_inf_c/data_inf_c_interconnect_M2S.sv
428
+ - lib/axi/data_interface/data_inf_c/data_inf_c_pipe_condition.sv
429
+ - lib/axi/data_interface/data_inf_c/data_inf_c_planer.sv
430
+ - lib/axi/data_interface/data_inf_c/data_inf_c_planer_A1.sv
431
+ - lib/axi/data_interface/data_inf_c/data_intc_M2S_force_robin.sv
432
+ - lib/axi/data_interface/data_inf_c/data_mirrors.sv
433
+ - lib/axi/data_interface/data_inf_c/data_mirrors_verb.sv.bak
434
+ - lib/axi/data_interface/data_inf_c/data_uncompress.sv
435
+ - lib/axi/data_interface/data_inf_c/data_valve.sv
436
+ - lib/axi/data_interface/data_inf_c/next_prio.sv
437
+ - lib/axi/data_interface/data_inf_c/trigger_data_inf_c.sv
438
+ - lib/axi/data_interface/data_inf_c/trigger_data_inf_c_A1.sv
439
+ - lib/axi/data_interface/data_inf_c/trigger_ready_ctrl.sv
440
+ - lib/axi/data_interface/data_inf_c/vcs_data_c_comptable.sv
441
+ - lib/axi/data_interface/data_inf_cross_clk.sv
442
+ - lib/axi/data_interface/data_inf_intc_M2S_force_addr_with_id.sv
443
+ - lib/axi/data_interface/data_inf_intc_M2S_prio.sv
444
+ - lib/axi/data_interface/data_inf_intc_M2S_prio_with_id.sv
445
+ - lib/axi/data_interface/data_inf_interconnect_M2S_noaddr.sv
446
+ - lib/axi/data_interface/data_inf_interconnect_M2S_with_id_noaddr.sv
447
+ - lib/axi/data_interface/data_inf_planer.sv
448
+ - lib/axi/data_interface/data_inf_planer_A1.sv
449
+ - lib/axi/data_interface/data_inf_ticktock.sv
450
+ - lib/axi/data_interface/data_interface.sv
451
+ - lib/axi/data_interface/data_interface_pkg.sv
452
+ - lib/axi/data_interface/data_pair_map.sv
453
+ - lib/axi/data_interface/data_pair_map_A1.sv
454
+ - lib/axi/data_interface/data_pair_map_A2.sv
455
+ - lib/axi/data_interface/data_pipe_intc_M2S_addr.sv.bak
456
+ - lib/axi/data_interface/data_pipe_interconnect.sv
457
+ - lib/axi/data_interface/data_pipe_interconnect_M2S.sv
458
+ - lib/axi/data_interface/data_pipe_interconnect_M2S.sv.bak1012
459
+ - lib/axi/data_interface/data_pipe_interconnect_M2S_A1.sv
460
+ - lib/axi/data_interface/data_pipe_interconnect_M2S_verb.sv
461
+ - lib/axi/data_interface/data_pipe_interconnect_M2S_verb.sv.bad_work
462
+ - lib/axi/data_interface/data_pipe_interconnect_S2M.sv
463
+ - lib/axi/data_interface/data_pipe_interconnect_S2M_A1.sv
464
+ - lib/axi/data_interface/data_pipe_interconnect_S2M_verb.sv
465
+ - lib/axi/data_interface/data_streams_combin.sv
466
+ - lib/axi/data_interface/data_streams_combin_A1.sv
467
+ - lib/axi/data_interface/data_streams_scaler.sv
468
+ - lib/axi/data_interface/datainf_c_master_empty.sv
469
+ - lib/axi/data_interface/datainf_c_slaver_empty.sv
470
+ - lib/axi/data_interface/datainf_master_empty.sv
471
+ - lib/axi/data_interface/datainf_slaver_empty.sv
472
+ - lib/axi/data_interface/part_data_pair_map.sv
473
+ - lib/axi/interface_define/axi_aux_inf.sv
474
+ - lib/axi/interface_define/axi_inf.sv
475
+ - lib/axi/interface_define/axi_inf_verb.sv
476
+ - lib/axi/interface_define/axi_interface_instance.svo
477
+ - lib/axi/interface_define/axi_lite_inf.sv
478
+ - lib/axi/interface_define/axi_stream_inf.sv
479
+ - lib/axi/interface_define/bak/axi_aux_inf.sv
480
+ - lib/axi/interface_define/bak/axi_inf_verb.sv
481
+ - lib/axi/interface_define/bak/axi_interface_instance.svo
482
+ - lib/axi/interface_define/bak/microblaze_inf.sv
483
+ - lib/axi/interface_define/bak/xilinx_axi4_to_axi4.sv
484
+ - lib/axi/interface_define/bak/xilinx_lite_to_lite.sv
485
+ - lib/axi/interface_define/lite_inf2_to_inf.sv
486
+ - lib/axi/interface_define/xilinx_axi4_to_axi4.sv
487
+ - lib/axi/interface_define/xilinx_lite_to_lite.sv
488
+ - lib/axi/macro/axil_macro.sv
489
+ - lib/axi/macro/bak/axi4_base_files_add_to_vivado.tcl
490
+ - lib/axi/macro/bak/axi_macro.sv
491
+ - lib/axi/macro/bak/axis_base_files_add_to_vivado.tcl
492
+ - lib/axi/macro/bak/base_files_add_to_vivado.tcl
493
+ - lib/axi/macro/bak/data_inf_base_files_add_to_vivado.tcl
494
+ - lib/axi/macro/bak/lite_inf_base_files_add_to_vivado.tcl
495
+ - lib/axi/macro/bak/standard_tcl.rb
496
+ - lib/axi/macro/bak/system_macro.sv
497
+ - lib/axi/macro/bak/tcl_axi4_base_files_add_to_vivado.tcl
498
+ - lib/axi/macro/bak/tcl_axis_base_files_add_to_vivado.tcl
499
+ - lib/axi/macro/bak/tcl_base_files_add_to_vivado.tcl
500
+ - lib/axi/macro/bak/tcl_data_inf_base_files_add_to_vivado.tcl
501
+ - lib/axi/macro/bak/tcl_lite_inf_base_files_add_to_vivado.tcl
502
+ - lib/axi/macro/bak/tcl_tmp.tcl
503
+ - lib/axi/macro/bak/tmp.tcl
504
+ - lib/axi/platform_ip/fifo_10_18bit_long.sv
505
+ - lib/axi/platform_ip/fifo_145_216bit_A1.sv
506
+ - lib/axi/platform_ip/fifo_217_288bit_A1.sv
507
+ - lib/axi/platform_ip/fifo_36bit.sv
508
+ - lib/axi/platform_ip/fifo_36bit_A1.sv
509
+ - lib/axi/platform_ip/fifo_36kb_long.sv
510
+ - lib/axi/platform_ip/fifo_37_72bit.sv
511
+ - lib/axi/platform_ip/fifo_505_576bit_A1.sv
512
+ - lib/axi/platform_ip/fifo_73_96bit.sv
513
+ - lib/axi/platform_ip/fifo_97_144bit.sv
514
+ - lib/axi/platform_ip/fifo_97_144bit_A1.sv
515
+ - lib/axi/platform_ip/fifo_ku.sv
516
+ - lib/axi/platform_ip/fifo_ku.sv.bak
517
+ - lib/axi/platform_ip/fifo_ku_18bit.sv
518
+ - lib/axi/platform_ip/fifo_ku_36bit.sv
519
+ - lib/axi/platform_ip/fifo_ku_36kb_long.sv
520
+ - lib/axi/platform_ip/fifo_ku_xbit_8192.sv.bak
521
+ - lib/axi/platform_ip/fifo_wr_rd_mark.sv
522
+ - lib/axi/platform_ip/ku_long_fifo_4bit.sv
523
+ - lib/axi/platform_ip/long_fifo.sv
524
+ - lib/axi/platform_ip/long_fifo_4bit.sv
525
+ - lib/axi/platform_ip/long_fifo_4bit_8192.sv
526
+ - lib/axi/platform_ip/long_fifo_4bit_SL8192.sv
527
+ - lib/axi/platform_ip/long_fifo_verb.sv
528
+ - lib/axi/platform_ip/wide_fifo.sv
529
+ - lib/axi/platform_ip/wide_fifo_7series.sv
530
+ - lib/axi/platform_ip/xilinx_fifo.sv
531
+ - lib/axi/platform_ip/xilinx_fifo_A1.sv
532
+ - lib/axi/platform_ip/xilinx_fifo_verb.sv
533
+ - lib/axi/platform_ip/xilinx_fifo_verc.sv
534
+ - lib/axi/platform_ip/xilinx_stream_packet_fifo_ip.sv
535
+ - lib/axi/top/axi4_data_convert_2_20_tb.sv
536
+ - lib/axi/top/axi4_data_convert_5_24_tb.sv
537
+ - lib/axi/top/axi4_interconnnect_2_24_tb.sv
538
+ - lib/axi/top/axi4_interconnnect_5_23_tb.sv
539
+ - lib/axi/top/axi4_merge_tb_0331.sv
540
+ - lib/axi/top/axi4_packet_fifo_2_28_tb.sv
541
+ - lib/axi/top/axi4_partition_2_23_tb.sv
542
+ - lib/axi/top/axi_stream_packet_fifo_2_28_tb.sv
543
+ - lib/axi/top/axis_length_cut_2_28_tb.sv
544
+ - lib/axi/top/axis_length_fill_8_18_tb.sv
545
+ - lib/axi/top/common_fifo_2_27_tb.sv
546
+ - lib/axi/top/data_convert_2_16_tb.sv
547
+ - lib/axi/top/independent_fifo_2_27_tb.sv
548
+ - lib/axi/top/long_to_wide_3_1_tb.sv
549
+ - lib/axi/top/odd_width_convert_tb_420.sv
550
+ - lib/axi/top/tb_axis_m2s_A1_0115.sv
551
+ - lib/axi/top/tb_axis_width_combin_0913.sv
552
+ - lib/axi/top/tb_axis_width_test_0914.sv
553
+ - lib/axi/top/tb_data_c_inf_M2S_0823.sv
554
+ - lib/axi/top/tb_data_c_inf_M2S_addr_0824.sv
555
+ - lib/axi/top/tb_data_c_pipe_force_vld_1228.sv
556
+ - lib/axi/top/tb_data_c_scaler_20180413.sv
557
+ - lib/axi/top/tb_data_intc_S2M_0807.sv
558
+ - lib/axi/top/tb_test_ku_fifo_0919.sv
559
+ - lib/axi/top/width_convert_verb_tb_523.sv
560
+ - lib/axi/video/video_stream_2_axi_stream.sv
561
+ - lib/axi/video_interface/video_interface.sv
562
+ - lib/axi_tdl.rb
563
+ - lib/axi_tdl/version.rb
564
+ - lib/spec/spec_helper.rb
565
+ - lib/tdl/LICENSE
566
+ - lib/tdl/Logic/Logic.tar.gz
567
+ - lib/tdl/Logic/clock_rst_verb_auto.rb
568
+ - lib/tdl/Logic/logic_edge.rb
569
+ - lib/tdl/Logic/logic_latency.rb
570
+ - lib/tdl/Logic/logic_main.rb
571
+ - lib/tdl/Logic/logic_operator.rb.bak
572
+ - lib/tdl/Logic/mdio_model_auto.rb
573
+ - lib/tdl/Logic/path_lib.rb
574
+ - lib/tdl/Logic/redefine_operator.rb
575
+ - lib/tdl/ReadMe.md
576
+ - lib/tdl/SDL/axi4/AXI4_interconnect_M2S_sdl.rb
577
+ - lib/tdl/SDL/axi4/axi4_combin_wr_rd_batch_sdl.rb
578
+ - lib/tdl/SDL/axi4/axi4_data_combin_aflag_pipe_A1_sdl.rb
579
+ - lib/tdl/SDL/axi4/axi4_data_combin_aflag_pipe_sdl.rb
580
+ - lib/tdl/SDL/axi4/axi4_data_convert_A1_sdl.rb
581
+ - lib/tdl/SDL/axi4/axi4_data_convert_sdl.rb
582
+ - lib/tdl/SDL/axi4/axi4_direct_A1_sdl.rb
583
+ - lib/tdl/SDL/axi4/axi4_direct_B1_sdl.rb
584
+ - lib/tdl/SDL/axi4/axi4_direct_sdl.rb
585
+ - lib/tdl/SDL/axi4/axi4_direct_verb_sdl.rb
586
+ - lib/tdl/SDL/axi4/axi4_direct_verc_sdl.rb
587
+ - lib/tdl/SDL/axi4/axi4_long_to_axi4_wide_A1_sdl.rb
588
+ - lib/tdl/SDL/axi4/axi4_long_to_axi4_wide_sdl.rb
589
+ - lib/tdl/SDL/axi4/axi4_long_to_axi4_wide_track_sdl.rb
590
+ - lib/tdl/SDL/axi4/axi4_long_to_axi4_wide_verb_sdl.rb
591
+ - lib/tdl/SDL/axi4/axi4_merge_rd_sdl.rb
592
+ - lib/tdl/SDL/axi4/axi4_merge_sdl.rb
593
+ - lib/tdl/SDL/axi4/axi4_merge_wr_sdl.rb
594
+ - lib/tdl/SDL/axi4/axi4_mix_interconnect_M2S_sdl.rb
595
+ - lib/tdl/SDL/axi4/axi4_packet_fifo_sdl.rb
596
+ - lib/tdl/SDL/axi4/axi4_partition_OD_sdl.rb
597
+ - lib/tdl/SDL/axi4/axi4_partition_rd_OD_sdl.rb
598
+ - lib/tdl/SDL/axi4/axi4_partition_rd_sdl.rb
599
+ - lib/tdl/SDL/axi4/axi4_partition_sdl.rb
600
+ - lib/tdl/SDL/axi4/axi4_partition_wr_OD_sdl.rb
601
+ - lib/tdl/SDL/axi4/axi4_partition_wr_sdl.rb
602
+ - lib/tdl/SDL/axi4/axi4_pipe_sdl.rb
603
+ - lib/tdl/SDL/axi4/axi4_pipe_verb_sdl.rb
604
+ - lib/tdl/SDL/axi4/axi4_rd_auxiliary_batch_gen_sdl.rb
605
+ - lib/tdl/SDL/axi4/axi4_rd_auxiliary_gen_A1_sdl.rb
606
+ - lib/tdl/SDL/axi4/axi4_rd_auxiliary_gen_sdl.rb
607
+ - lib/tdl/SDL/axi4/axi4_rd_burst_track_sdl.rb
608
+ - lib/tdl/SDL/axi4/axi4_rd_interconnect_M2S_sdl.rb
609
+ - lib/tdl/SDL/axi4/axi4_rd_mix_interconnect_M2S_A1_sdl.rb
610
+ - lib/tdl/SDL/axi4/axi4_rd_mix_interconnect_M2S_A2_sdl.rb
611
+ - lib/tdl/SDL/axi4/axi4_rd_mix_interconnect_M2S_sdl.rb
612
+ - lib/tdl/SDL/axi4/axi4_rd_packet_fifo_sdl.rb
613
+ - lib/tdl/SDL/axi4/axi4_rd_pipe_sdl.rb
614
+ - lib/tdl/SDL/axi4/axi4_rd_pipe_verb_sdl.rb
615
+ - lib/tdl/SDL/axi4/axi4_wr_aux_bind_data_sdl.rb
616
+ - lib/tdl/SDL/axi4/axi4_wr_auxiliary_batch_gen_sdl.rb
617
+ - lib/tdl/SDL/axi4/axi4_wr_auxiliary_gen_sdl.rb
618
+ - lib/tdl/SDL/axi4/axi4_wr_auxiliary_gen_without_resp_sdl.rb
619
+ - lib/tdl/SDL/axi4/axi4_wr_burst_track_sdl.rb
620
+ - lib/tdl/SDL/axi4/axi4_wr_interconnect_M2S_A1_sdl.rb
621
+ - lib/tdl/SDL/axi4/axi4_wr_interconnect_M2S_sdl.rb
622
+ - lib/tdl/SDL/axi4/axi4_wr_mix_interconnect_M2S_sdl.rb
623
+ - lib/tdl/SDL/axi4/axi4_wr_packet_fifo_sdl.rb
624
+ - lib/tdl/SDL/axi4/axi4_wr_pipe_sdl.rb
625
+ - lib/tdl/SDL/axi4/axi4_wr_pipe_verb_sdl.rb
626
+ - lib/tdl/SDL/axi4/axi_stream_add_addr_len_sdl.rb
627
+ - lib/tdl/SDL/axi4/axi_stream_to_axi4_wr_sdl.rb
628
+ - lib/tdl/SDL/axi4/data_combin_sdl.rb
629
+ - lib/tdl/SDL/axi4/data_destruct_sdl.rb
630
+ - lib/tdl/SDL/axi4/feed_check_sdl.rb
631
+ - lib/tdl/SDL/axi4/full_axi4_to_axis_partition_wr_rd_sdl.rb
632
+ - lib/tdl/SDL/axi4/full_axi4_to_axis_sdl.rb
633
+ - lib/tdl/SDL/axi4/id_record_sdl.rb
634
+ - lib/tdl/SDL/axi4/idata_pool_axi4_sdl.rb
635
+ - lib/tdl/SDL/axi4/odata_pool_axi4_A1_sdl.rb
636
+ - lib/tdl/SDL/axi4/odata_pool_axi4_A2_sdl.rb
637
+ - lib/tdl/SDL/axi4/odata_pool_axi4_sdl.rb
638
+ - lib/tdl/SDL/axi4/odd_width_convert_sdl.rb
639
+ - lib/tdl/SDL/axi4/odd_width_convert_verb_sdl.rb
640
+ - lib/tdl/SDL/axi4/simple_data_pipe_sdl.rb
641
+ - lib/tdl/SDL/axi4/simple_data_pipe_slaver_sdl.rb
642
+ - lib/tdl/SDL/axi4/vcs_axi4_array_comptable.rb
643
+ - lib/tdl/SDL/axi4/vcs_axi4_array_comptable_sdl.rb
644
+ - lib/tdl/SDL/axi4/vcs_axi4_comptable.rb
645
+ - lib/tdl/SDL/axi4/vcs_axi4_comptable_sdl.rb
646
+ - lib/tdl/SDL/axi4/width_combin_sdl.rb
647
+ - lib/tdl/SDL/axi4/width_convert_sdl.rb
648
+ - lib/tdl/SDL/axi4/width_convert_verb_sdl.rb
649
+ - lib/tdl/SDL/axi4/width_destruct_A1_sdl.rb
650
+ - lib/tdl/SDL/axi4/width_destruct_sdl.rb
651
+ - lib/tdl/SDL/axistream/axi_stream_cache_35bit_sdl.rb
652
+ - lib/tdl/SDL/axistream/axi_stream_cache_36_71bit_sdl.rb
653
+ - lib/tdl/SDL/axistream/axi_stream_cache_72_95bit_sdl.rb
654
+ - lib/tdl/SDL/axistream/axi_stream_cache_72_95bit_with_keep_sdl.rb
655
+ - lib/tdl/SDL/axistream/axi_stream_cache_96_143bit_sdl.rb
656
+ - lib/tdl/SDL/axistream/axi_stream_cache_B1_sdl.rb
657
+ - lib/tdl/SDL/axistream/axi_stream_cache_mirror_sdl.rb
658
+ - lib/tdl/SDL/axistream/axi_stream_cache_sdl.rb
659
+ - lib/tdl/SDL/axistream/axi_stream_cache_verb_sdl.rb
660
+ - lib/tdl/SDL/axistream/axi_stream_interconnect_M2S_A1_sdl.rb
661
+ - lib/tdl/SDL/axistream/axi_stream_interconnect_M2S_A2_sdl.rb
662
+ - lib/tdl/SDL/axistream/axi_stream_interconnect_M2S_bind_tuser_sdl.rb
663
+ - lib/tdl/SDL/axistream/axi_stream_interconnect_M2S_noaddr_sdl.rb
664
+ - lib/tdl/SDL/axistream/axi_stream_interconnect_M2S_sdl.rb
665
+ - lib/tdl/SDL/axistream/axi_stream_interconnect_M2S_with_addr_sdl.rb
666
+ - lib/tdl/SDL/axistream/axi_stream_interconnect_S2M_auto_sdl.rb
667
+ - lib/tdl/SDL/axistream/axi_stream_interconnect_S2M_sdl.rb
668
+ - lib/tdl/SDL/axistream/axi_stream_long_cache_sdl.rb
669
+ - lib/tdl/SDL/axistream/axi_stream_long_fifo_sdl.rb
670
+ - lib/tdl/SDL/axistream/axi_stream_long_fifo_verb_sdl.rb
671
+ - lib/tdl/SDL/axistream/axi_stream_packet_fifo_B1E_sdl.rb
672
+ - lib/tdl/SDL/axistream/axi_stream_packet_fifo_B1_sdl.rb
673
+ - lib/tdl/SDL/axistream/axi_stream_packet_fifo_sdl.rb
674
+ - lib/tdl/SDL/axistream/axi_stream_packet_fifo_verb_sdl.rb
675
+ - lib/tdl/SDL/axistream/axi_stream_packet_fifo_with_info_sdl.rb
676
+ - lib/tdl/SDL/axistream/axi_stream_packet_long_fifo_sdl.rb
677
+ - lib/tdl/SDL/axistream/axi_stream_partition_A1_sdl.rb
678
+ - lib/tdl/SDL/axistream/axi_stream_partition_sdl.rb
679
+ - lib/tdl/SDL/axistream/axi_stream_wide_fifo_sdl.rb
680
+ - lib/tdl/SDL/axistream/axi_streams_combin_A1_sdl.rb
681
+ - lib/tdl/SDL/axistream/axi_streams_combin_sdl.rb
682
+ - lib/tdl/SDL/axistream/axi_streams_scaler_A1_sdl.rb
683
+ - lib/tdl/SDL/axistream/axi_streams_scaler_sdl.rb
684
+ - lib/tdl/SDL/axistream/axis_append_A1_sdl.rb
685
+ - lib/tdl/SDL/axistream/axis_append_sdl.rb
686
+ - lib/tdl/SDL/axistream/axis_base_pipe_sdl.rb
687
+ - lib/tdl/SDL/axistream/axis_combin_with_fifo_sdl.rb
688
+ - lib/tdl/SDL/axistream/axis_connect_pipe_right_shift_sdl.rb
689
+ - lib/tdl/SDL/axistream/axis_connect_pipe_sdl.rb
690
+ - lib/tdl/SDL/axistream/axis_connect_pipe_with_info_sdl.rb
691
+ - lib/tdl/SDL/axistream/axis_direct_A1_sdl.rb
692
+ - lib/tdl/SDL/axistream/axis_direct_sdl.rb
693
+ - lib/tdl/SDL/axistream/axis_ex_status_sdl.rb
694
+ - lib/tdl/SDL/axistream/axis_filter_sdl.rb
695
+ - lib/tdl/SDL/axistream/axis_full_to_data_c_sdl.rb
696
+ - lib/tdl/SDL/axistream/axis_head_cut_sdl.rb
697
+ - lib/tdl/SDL/axistream/axis_inct_s2m_with_flag_sdl.rb
698
+ - lib/tdl/SDL/axistream/axis_intc_M2S_with_addr_inf_sdl.rb
699
+ - lib/tdl/SDL/axistream/axis_intc_S2M_with_addr_inf_sdl.rb
700
+ - lib/tdl/SDL/axistream/axis_interconnect_S2M_pipe_sdl.rb
701
+ - lib/tdl/SDL/axistream/axis_length_cut_sdl.rb
702
+ - lib/tdl/SDL/axistream/axis_length_fill_sdl.rb
703
+ - lib/tdl/SDL/axistream/axis_length_split_sdl.rb
704
+ - lib/tdl/SDL/axistream/axis_length_split_with_addr_sdl.rb
705
+ - lib/tdl/SDL/axistream/axis_length_split_writh_user_sdl.rb
706
+ - lib/tdl/SDL/axistream/axis_link_trigger_sdl.rb
707
+ - lib/tdl/SDL/axistream/axis_master_empty_sdl.rb
708
+ - lib/tdl/SDL/axistream/axis_mirror_to_master_sdl.rb
709
+ - lib/tdl/SDL/axistream/axis_mirrors_sdl.rb
710
+ - lib/tdl/SDL/axistream/axis_orthogonal_sdl.rb
711
+ - lib/tdl/SDL/axistream/axis_pkt_fifo_filter_keep_A1_sdl.rb
712
+ - lib/tdl/SDL/axistream/axis_pkt_fifo_filter_keep_sdl.rb
713
+ - lib/tdl/SDL/axistream/axis_ram_buffer_sdl.rb
714
+ - lib/tdl/SDL/axistream/axis_slaver_empty_sdl.rb
715
+ - lib/tdl/SDL/axistream/axis_slaver_pipe_A1_sdl.rb
716
+ - lib/tdl/SDL/axistream/axis_slaver_pipe_sdl.rb
717
+ - lib/tdl/SDL/axistream/axis_slaver_vector_empty_sdl.rb
718
+ - lib/tdl/SDL/axistream/axis_to_data_inf_sdl.rb
719
+ - lib/tdl/SDL/axistream/axis_to_lite_rd_sdl.rb
720
+ - lib/tdl/SDL/axistream/axis_to_lite_wr_sdl.rb
721
+ - lib/tdl/SDL/axistream/axis_uncompress_A1_sdl.rb
722
+ - lib/tdl/SDL/axistream/axis_uncompress_sdl.rb
723
+ - lib/tdl/SDL/axistream/axis_valve_sdl.rb
724
+ - lib/tdl/SDL/axistream/axis_valve_with_pipe_sdl.rb
725
+ - lib/tdl/SDL/axistream/axis_width_combin_A1_sdl.rb
726
+ - lib/tdl/SDL/axistream/axis_width_combin_sdl.rb
727
+ - lib/tdl/SDL/axistream/axis_width_convert_sdl.rb
728
+ - lib/tdl/SDL/axistream/axis_width_destruct_A1_sdl.rb
729
+ - lib/tdl/SDL/axistream/axis_width_destruct_sdl.rb
730
+ - lib/tdl/SDL/axistream/check_stream_crc_sdl.rb
731
+ - lib/tdl/SDL/axistream/data_c_to_axis_full_sdl.rb
732
+ - lib/tdl/SDL/axistream/data_to_axis_inf_A1_sdl.rb
733
+ - lib/tdl/SDL/axistream/data_to_axis_inf_sdl.rb
734
+ - lib/tdl/SDL/axistream/gen_big_field_table_sdl.rb
735
+ - lib/tdl/SDL/axistream/gen_common_frame_table_sdl.rb
736
+ - lib/tdl/SDL/axistream/gen_origin_axis_A1_sdl.rb
737
+ - lib/tdl/SDL/axistream/gen_origin_axis_sdl.rb
738
+ - lib/tdl/SDL/axistream/gen_simple_axis_sdl.rb
739
+ - lib/tdl/SDL/axistream/parse_big_field_table_A1_sdl.rb
740
+ - lib/tdl/SDL/axistream/parse_big_field_table_A2_sdl.rb
741
+ - lib/tdl/SDL/axistream/parse_big_field_table_sdl.rb
742
+ - lib/tdl/SDL/axistream/stream_crc_sdl.rb
743
+ - lib/tdl/SDL/axistream/vcs_axis_comptable.rb
744
+ - lib/tdl/SDL/axistream/vcs_axis_comptable_sdl.rb
745
+ - lib/tdl/SDL/data_inf_c/data_bind_sdl.rb
746
+ - lib/tdl/SDL/data_inf_c/data_c_cache_sdl.rb
747
+ - lib/tdl/SDL/data_inf_c/data_c_direct_mirror_sdl.rb
748
+ - lib/tdl/SDL/data_inf_c/data_c_direct_sdl.rb
749
+ - lib/tdl/SDL/data_inf_c/data_c_intc_M2S_force_robin_sdl.rb
750
+ - lib/tdl/SDL/data_inf_c/data_c_pipe_force_vld_bind_data_sdl.rb
751
+ - lib/tdl/SDL/data_inf_c/data_c_pipe_force_vld_sdl.rb
752
+ - lib/tdl/SDL/data_inf_c/data_c_pipe_inf_A1_sdl.rb
753
+ - lib/tdl/SDL/data_inf_c/data_c_pipe_inf_right_shift_sdl.rb
754
+ - lib/tdl/SDL/data_inf_c/data_c_pipe_inf_sdl.rb
755
+ - lib/tdl/SDL/data_inf_c/data_c_pipe_intc_M2S_C1_sdl.rb
756
+ - lib/tdl/SDL/data_inf_c/data_c_pipe_intc_M2S_C1_with_id_sdl.rb
757
+ - lib/tdl/SDL/data_inf_c/data_c_pipe_intc_M2S_verc_sdl.rb
758
+ - lib/tdl/SDL/data_inf_c/data_c_pipe_intc_M2S_verc_with_addr_sdl.rb
759
+ - lib/tdl/SDL/data_inf_c/data_c_pipe_intc_M2S_verc_with_id_sdl.rb
760
+ - lib/tdl/SDL/data_inf_c/data_c_pipe_latency_sdl.rb
761
+ - lib/tdl/SDL/data_inf_c/data_c_scaler_A1_sdl.rb
762
+ - lib/tdl/SDL/data_inf_c/data_c_scaler_sdl.rb
763
+ - lib/tdl/SDL/data_inf_c/data_c_tmp_cache_sdl.rb
764
+ - lib/tdl/SDL/data_inf_c/data_condition_mirror_sdl.rb
765
+ - lib/tdl/SDL/data_inf_c/data_condition_valve_sdl.rb
766
+ - lib/tdl/SDL/data_inf_c/data_connect_pipe_inf_sdl.rb
767
+ - lib/tdl/SDL/data_inf_c/data_connect_pipe_sdl.rb
768
+ - lib/tdl/SDL/data_inf_c/data_inf_A2B_sdl.rb
769
+ - lib/tdl/SDL/data_inf_c/data_inf_B2A_sdl.rb
770
+ - lib/tdl/SDL/data_inf_c/data_inf_c_M2S_with_addr_and_id_sdl.rb
771
+ - lib/tdl/SDL/data_inf_c/data_inf_c_intc_M2S_with_id_sdl.rb
772
+ - lib/tdl/SDL/data_inf_c/data_inf_c_intc_S2M_A1_sdl.rb
773
+ - lib/tdl/SDL/data_inf_c/data_inf_c_intc_S2M_sdl.rb
774
+ - lib/tdl/SDL/data_inf_c/data_inf_c_intc_S2M_with_lazy_sdl.rb
775
+ - lib/tdl/SDL/data_inf_c/data_inf_c_interconnect_M2S_sdl.rb
776
+ - lib/tdl/SDL/data_inf_c/data_inf_c_pipe_condition_sdl.rb
777
+ - lib/tdl/SDL/data_inf_c/data_inf_c_planer_A1.rb
778
+ - lib/tdl/SDL/data_inf_c/data_inf_c_planer_A1_sdl.rb
779
+ - lib/tdl/SDL/data_inf_c/data_inf_c_planer_sdl.rb
780
+ - lib/tdl/SDL/data_inf_c/data_inf_cross_clk_sdl.rb
781
+ - lib/tdl/SDL/data_inf_c/data_inf_intc_M2S_force_addr_with_id_sdl.rb
782
+ - lib/tdl/SDL/data_inf_c/data_inf_intc_M2S_prio_sdl.rb
783
+ - lib/tdl/SDL/data_inf_c/data_inf_intc_M2S_prio_with_id_sdl.rb
784
+ - lib/tdl/SDL/data_inf_c/data_inf_interconnect_M2S_noaddr_sdl.rb
785
+ - lib/tdl/SDL/data_inf_c/data_inf_interconnect_M2S_with_id_noaddr_sdl.rb
786
+ - lib/tdl/SDL/data_inf_c/data_inf_planer_A1_sdl.rb
787
+ - lib/tdl/SDL/data_inf_c/data_inf_planer_sdl.rb
788
+ - lib/tdl/SDL/data_inf_c/data_inf_ticktock_sdl.rb
789
+ - lib/tdl/SDL/data_inf_c/data_intc_M2S_force_robin_sdl.rb
790
+ - lib/tdl/SDL/data_inf_c/data_mirrors_sdl.rb
791
+ - lib/tdl/SDL/data_inf_c/data_pair_map_A1_sdl.rb
792
+ - lib/tdl/SDL/data_inf_c/data_pair_map_A2_sdl.rb
793
+ - lib/tdl/SDL/data_inf_c/data_pair_map_sdl.rb
794
+ - lib/tdl/SDL/data_inf_c/data_pipe_interconnect_M2S_A1_sdl.rb
795
+ - lib/tdl/SDL/data_inf_c/data_pipe_interconnect_M2S_sdl.rb
796
+ - lib/tdl/SDL/data_inf_c/data_pipe_interconnect_M2S_verb_sdl.rb
797
+ - lib/tdl/SDL/data_inf_c/data_pipe_interconnect_S2M_A1_sdl.rb
798
+ - lib/tdl/SDL/data_inf_c/data_pipe_interconnect_S2M_sdl.rb
799
+ - lib/tdl/SDL/data_inf_c/data_pipe_interconnect_S2M_verb_sdl.rb
800
+ - lib/tdl/SDL/data_inf_c/data_pipe_interconnect_sdl.rb
801
+ - lib/tdl/SDL/data_inf_c/data_streams_combin_A1_sdl.rb
802
+ - lib/tdl/SDL/data_inf_c/data_streams_combin_sdl.rb
803
+ - lib/tdl/SDL/data_inf_c/data_streams_scaler_sdl.rb
804
+ - lib/tdl/SDL/data_inf_c/data_uncompress_sdl.rb
805
+ - lib/tdl/SDL/data_inf_c/data_valve_sdl.rb
806
+ - lib/tdl/SDL/data_inf_c/datainf_c_master_empty_sdl.rb
807
+ - lib/tdl/SDL/data_inf_c/datainf_c_slaver_empty_sdl.rb
808
+ - lib/tdl/SDL/data_inf_c/datainf_master_empty_sdl.rb
809
+ - lib/tdl/SDL/data_inf_c/datainf_slaver_empty_sdl.rb
810
+ - lib/tdl/SDL/data_inf_c/latency_sdl.rb
811
+ - lib/tdl/SDL/data_inf_c/next_prio_sdl.rb
812
+ - lib/tdl/SDL/data_inf_c/part_data_pair_map_sdl.rb
813
+ - lib/tdl/SDL/data_inf_c/trigger_data_inf_c_A1_sdl.rb
814
+ - lib/tdl/SDL/data_inf_c/trigger_data_inf_c_sdl.rb
815
+ - lib/tdl/SDL/data_inf_c/trigger_ready_ctrl_sdl.rb
816
+ - lib/tdl/SDL/data_inf_c/vcs_data_c_comptable.rb
817
+ - lib/tdl/SDL/data_inf_c/vcs_data_c_comptable_sdl.rb
818
+ - lib/tdl/SDL/fifo/common_fifo_sdl.rb
819
+ - lib/tdl/SDL/fifo/common_stack_sdl.rb
820
+ - lib/tdl/SDL/fifo/independent_clock_fifo_a1_sdl.rb
821
+ - lib/tdl/SDL/fifo/independent_clock_fifo_sdl.rb
822
+ - lib/tdl/SDL/fifo/independent_stack_sdl.rb
823
+ - lib/tdl/SDL/path_lib.rb
824
+ - lib/tdl/VideoInf/simple_video_gen.rb
825
+ - lib/tdl/VideoInf/video_from_axi4.rb
826
+ - lib/tdl/VideoInf/video_lib.rb
827
+ - lib/tdl/VideoInf/video_stream_2_axi_stream.rb
828
+ - lib/tdl/VideoInf/video_to_axi4.rb
829
+ - lib/tdl/auto_script/auto_gen_tdl.rb
830
+ - lib/tdl/auto_script/autogensdl.rb
831
+ - lib/tdl/auto_script/autogentdl_a2.rb
832
+ - lib/tdl/auto_script/import_hdl.rb
833
+ - lib/tdl/auto_script/import_sdl.rb
834
+ - lib/tdl/auto_script/test_autogensdl.rb
835
+ - lib/tdl/auto_script/tmp.rb
836
+ - lib/tdl/auto_script/tmp/hdl_test_sdl.rb
837
+ - lib/tdl/axi4/axi4_combin_wr_rd_batch_auto.rb
838
+ - lib/tdl/axi4/axi4_direct.rb
839
+ - lib/tdl/axi4/axi4_direct_A1_auto.rb
840
+ - lib/tdl/axi4/axi4_direct_auto.rb
841
+ - lib/tdl/axi4/axi4_direct_verb_auto.rb
842
+ - lib/tdl/axi4/axi4_interconnect_verb.rb
843
+ - lib/tdl/axi4/axi4_lib.rb
844
+ - lib/tdl/axi4/axi4_long_to_axi4_wide_A1_auto.rb
845
+ - lib/tdl/axi4/axi4_long_to_axi4_wide_auto.rb
846
+ - lib/tdl/axi4/axi4_long_to_axi4_wide_verb_auto.rb
847
+ - lib/tdl/axi4/axi4_packet_fifo_auto.rb
848
+ - lib/tdl/axi4/axi4_pipe_auto.rb
849
+ - lib/tdl/axi4/axi4_pipe_verb_auto.rb
850
+ - lib/tdl/axi4/axi4_rd_auxiliary_gen_auto.rb
851
+ - lib/tdl/axi4/axi4_wr_auxiliary_gen_without_resp_auto.rb
852
+ - lib/tdl/axi4/axis_to_axi4_wr_auto.rb
853
+ - lib/tdl/axi4/bak/__axi4_wr_auxiliary_gen_without_resp.rb
854
+ - lib/tdl/axi4/bak/axi4_combin_wr_rd_batch_auto.rb
855
+ - lib/tdl/axi4/bak/axi4_data_convert.rb
856
+ - lib/tdl/axi4/bak/axi4_direct_auto.rb
857
+ - lib/tdl/axi4/bak/axi4_direct_verb_auto.rb
858
+ - lib/tdl/axi4/bak/axi4_interconnect.rb.bak
859
+ - lib/tdl/axi4/bak/axi4_long_to_axi4_wide_A1_auto.rb
860
+ - lib/tdl/axi4/bak/axi4_long_to_axi4_wide_auto.rb
861
+ - lib/tdl/axi4/bak/axi4_long_to_axi4_wide_verb_auto.rb
862
+ - lib/tdl/axi4/bak/axi4_packet_fifo.rb.bak
863
+ - lib/tdl/axi4/bak/axi4_packet_fifo_auto.rb
864
+ - lib/tdl/axi4/bak/axi4_partition_od.rb
865
+ - lib/tdl/axi4/bak/axi4_pipe_auto.rb
866
+ - lib/tdl/axi4/bak/axi4_wr_auxiliary_gen_without_resp_auto.rb
867
+ - lib/tdl/axi4/bak/axis_to_axi4_wr_auto.rb
868
+ - lib/tdl/axi4/bak/ddr3.rb
869
+ - lib/tdl/axi4/bak/idata_pool_axi4_auto.rb
870
+ - lib/tdl/axi4/bak/odata_pool_axi4_A1_auto.rb
871
+ - lib/tdl/axi4/bak/odata_pool_axi4_auto.rb
872
+ - lib/tdl/axi4/idata_pool_axi4_auto.rb
873
+ - lib/tdl/axi4/odata_pool_axi4_A1_auto.rb
874
+ - lib/tdl/axi4/odata_pool_axi4_auto.rb
875
+ - lib/tdl/axi4/wide_axis_to_axi4_wr.rb
876
+ - lib/tdl/axi4/wide_axis_to_axi4_wr_auto.rb
877
+ - lib/tdl/axi_lite/axi_lite_master_empty_auto.rb
878
+ - lib/tdl/axi_lite/axi_lite_slaver_empty_auto.rb
879
+ - lib/tdl/axi_lite/bak/axi_lite_master_empty_auto.rb
880
+ - lib/tdl/axi_lite/bak/axi_lite_slaver_empty_auto.rb
881
+ - lib/tdl/axi_lite/bak/jtag_to_axilite_wrapper_auto.rb
882
+ - lib/tdl/axi_lite/jtag_to_axilite_wrapper_auto.rb
883
+ - lib/tdl/axi_lite/lite_cmd.rb
884
+ - lib/tdl/axi_lite/prj_lib.rb
885
+ - lib/tdl/axi_stream/axi_stream_cache_35bit_auto.rb
886
+ - lib/tdl/axi_stream/axi_stream_cache_72_95bit_with_keep_auto.rb
887
+ - lib/tdl/axi_stream/axi_stream_cache_B1_auto.rb
888
+ - lib/tdl/axi_stream/axi_stream_cache_auto.rb
889
+ - lib/tdl/axi_stream/axi_stream_cache_mirror_auto.rb
890
+ - lib/tdl/axi_stream/axi_stream_cache_verb_auto.rb
891
+ - lib/tdl/axi_stream/axi_stream_interconnect.rb
892
+ - lib/tdl/axi_stream/axi_stream_interconnect_M2S.rb
893
+ - lib/tdl/axi_stream/axi_stream_interconnect_M2S_A1.rb
894
+ - lib/tdl/axi_stream/axi_stream_interconnect_M2S_A1_auto.rb
895
+ - lib/tdl/axi_stream/axi_stream_interconnect_M2S_auto.rb
896
+ - lib/tdl/axi_stream/axi_stream_interconnect_M2S_bind_tuser_auto.rb
897
+ - lib/tdl/axi_stream/axi_stream_interconnect_S2M.rb
898
+ - lib/tdl/axi_stream/axi_stream_interconnect_S2M_auto.rb
899
+ - lib/tdl/axi_stream/axi_stream_interconnect_S2M_auto_auto.rb
900
+ - lib/tdl/axi_stream/axi_stream_interconnect_S2M_with_keep.sv_auto.rb
901
+ - lib/tdl/axi_stream/axi_stream_lib.rb
902
+ - lib/tdl/axi_stream/axi_stream_long_cache_auto.rb
903
+ - lib/tdl/axi_stream/axi_stream_long_fifo_auto.rb
904
+ - lib/tdl/axi_stream/axi_stream_long_fifo_verb_auto.rb
905
+ - lib/tdl/axi_stream/axi_stream_packet_fifo_auto.rb
906
+ - lib/tdl/axi_stream/axi_stream_packet_fifo_with_info_auto.rb
907
+ - lib/tdl/axi_stream/axi_stream_partition_A1_auto.rb
908
+ - lib/tdl/axi_stream/axi_stream_partition_auto.rb
909
+ - lib/tdl/axi_stream/axi_stream_wide_fifo_auto.rb
910
+ - lib/tdl/axi_stream/axi_streams_combin_A1_auto.rb
911
+ - lib/tdl/axi_stream/axi_streams_combin_auto.rb
912
+ - lib/tdl/axi_stream/axi_streams_scaler_A1_auto.rb
913
+ - lib/tdl/axi_stream/axi_streams_scaler_auto.rb
914
+ - lib/tdl/axi_stream/axis_append_A1_auto.rb
915
+ - lib/tdl/axi_stream/axis_append_auto.rb
916
+ - lib/tdl/axi_stream/axis_combin_with_fifo_auto.rb
917
+ - lib/tdl/axi_stream/axis_connect_pipe_A1.sv_auto.rb
918
+ - lib/tdl/axi_stream/axis_connect_pipe_auto.rb
919
+ - lib/tdl/axi_stream/axis_connect_pipe_with_info_auto.rb
920
+ - lib/tdl/axi_stream/axis_direct_auto.rb
921
+ - lib/tdl/axi_stream/axis_filter_auto.rb
922
+ - lib/tdl/axi_stream/axis_full_to_data_c_auto.rb
923
+ - lib/tdl/axi_stream/axis_head_cut_auto.rb
924
+ - lib/tdl/axi_stream/axis_length_fill_auto.rb
925
+ - lib/tdl/axi_stream/axis_length_split_auto.rb
926
+ - lib/tdl/axi_stream/axis_length_split_with_addr_auto.rb
927
+ - lib/tdl/axi_stream/axis_length_split_writh_user_auto.rb
928
+ - lib/tdl/axi_stream/axis_link_trigger_auto.rb
929
+ - lib/tdl/axi_stream/axis_master_empty_auto.rb
930
+ - lib/tdl/axi_stream/axis_mirror_to_master_auto.rb
931
+ - lib/tdl/axi_stream/axis_mirrors_auto.rb
932
+ - lib/tdl/axi_stream/axis_pkt_fifo_filter_keep_A1_auto.rb
933
+ - lib/tdl/axi_stream/axis_pkt_fifo_filter_keep_auto.rb
934
+ - lib/tdl/axi_stream/axis_ram_buffer_auto.rb
935
+ - lib/tdl/axi_stream/axis_slaver_empty_auto.rb
936
+ - lib/tdl/axi_stream/axis_slaver_pipe_A1_auto.rb
937
+ - lib/tdl/axi_stream/axis_slaver_pipe_auto.rb
938
+ - lib/tdl/axi_stream/axis_to_axi4_or_lite_auto.rb
939
+ - lib/tdl/axi_stream/axis_to_data_inf_auto.rb
940
+ - lib/tdl/axi_stream/axis_to_lite_rd_auto.rb
941
+ - lib/tdl/axi_stream/axis_to_lite_wr_auto.rb
942
+ - lib/tdl/axi_stream/axis_uncompress_auto.rb
943
+ - lib/tdl/axi_stream/axis_valve_auto.rb
944
+ - lib/tdl/axi_stream/axis_valve_with_pipe_auto.rb
945
+ - lib/tdl/axi_stream/axis_width_combin_A1_auto.rb
946
+ - lib/tdl/axi_stream/axis_width_combin_auto.rb
947
+ - lib/tdl/axi_stream/axis_width_convert_auto.rb
948
+ - lib/tdl/axi_stream/axis_width_destruct_A1.sv_auto.rb
949
+ - lib/tdl/axi_stream/axis_width_destruct_auto.rb
950
+ - lib/tdl/axi_stream/bak/__axi_stream_interconnect_S2M.rb
951
+ - lib/tdl/axi_stream/bak/_axis_mirrors.rb
952
+ - lib/tdl/axi_stream/bak/axi4_to_native_for_ddr_ip_verb_auto.rb
953
+ - lib/tdl/axi_stream/bak/axi_stream_S2M.rb
954
+ - lib/tdl/axi_stream/bak/axi_stream_cache_35bit_auto.rb
955
+ - lib/tdl/axi_stream/bak/axi_stream_cache_72_95bit_with_keep_auto.rb
956
+ - lib/tdl/axi_stream/bak/axi_stream_cache_B1_auto.rb
957
+ - lib/tdl/axi_stream/bak/axi_stream_cache_auto.rb
958
+ - lib/tdl/axi_stream/bak/axi_stream_cache_mirror_auto.rb
959
+ - lib/tdl/axi_stream/bak/axi_stream_cache_verb_auto.rb
960
+ - lib/tdl/axi_stream/bak/axi_stream_interconnect_S2M_auto.rb
961
+ - lib/tdl/axi_stream/bak/axi_stream_interconnect_S2M_with_keep.sv_auto.rb
962
+ - lib/tdl/axi_stream/bak/axi_stream_long_fifo_auto.rb
963
+ - lib/tdl/axi_stream/bak/axi_stream_packet_fifo_auto.rb
964
+ - lib/tdl/axi_stream/bak/axi_stream_packet_fifo_with_info_auto.rb
965
+ - lib/tdl/axi_stream/bak/axi_stream_partition_A1_auto.rb
966
+ - lib/tdl/axi_stream/bak/axi_stream_partition_auto.rb
967
+ - lib/tdl/axi_stream/bak/axi_streams_combin_auto.rb
968
+ - lib/tdl/axi_stream/bak/axi_streams_scaler.rb
969
+ - lib/tdl/axi_stream/bak/axi_streams_scaler_auto.rb
970
+ - lib/tdl/axi_stream/bak/axis_append_A1.rb
971
+ - lib/tdl/axi_stream/bak/axis_append_A1_auto.rb
972
+ - lib/tdl/axi_stream/bak/axis_append_auto.rb
973
+ - lib/tdl/axi_stream/bak/axis_combin_with_fifo_auto.rb
974
+ - lib/tdl/axi_stream/bak/axis_connect_pipe.rb.bak
975
+ - lib/tdl/axi_stream/bak/axis_connect_pipe_A1.sv_auto.rb
976
+ - lib/tdl/axi_stream/bak/axis_connect_pipe_auto.rb
977
+ - lib/tdl/axi_stream/bak/axis_connect_pipe_with_info_auto.rb
978
+ - lib/tdl/axi_stream/bak/axis_direct_auto.rb
979
+ - lib/tdl/axi_stream/bak/axis_filter_auto.rb
980
+ - lib/tdl/axi_stream/bak/axis_length_fill_auto.rb
981
+ - lib/tdl/axi_stream/bak/axis_length_split_auto.rb
982
+ - lib/tdl/axi_stream/bak/axis_length_split_with_addr_auto.rb
983
+ - lib/tdl/axi_stream/bak/axis_master_empty_auto.rb
984
+ - lib/tdl/axi_stream/bak/axis_mirrors_auto.rb
985
+ - lib/tdl/axi_stream/bak/axis_pkt_fifo_filter_keep_auto.rb
986
+ - lib/tdl/axi_stream/bak/axis_ram_buffer_auto.rb
987
+ - lib/tdl/axi_stream/bak/axis_slaver_empty_auto.rb
988
+ - lib/tdl/axi_stream/bak/axis_slaver_pipe_A1_auto.rb
989
+ - lib/tdl/axi_stream/bak/axis_slaver_pipe_auto.rb
990
+ - lib/tdl/axi_stream/bak/axis_to_axi4_wr_auto.rb
991
+ - lib/tdl/axi_stream/bak/axis_to_data_inf_auto.rb
992
+ - lib/tdl/axi_stream/bak/axis_uncompress_auto.rb
993
+ - lib/tdl/axi_stream/bak/axis_valve_auto.rb
994
+ - lib/tdl/axi_stream/bak/axis_valve_with_pipe_auto.rb
995
+ - lib/tdl/axi_stream/bak/axis_width_combin_auto.rb
996
+ - lib/tdl/axi_stream/bak/axis_width_convert_auto.rb
997
+ - lib/tdl/axi_stream/bak/axis_width_destruct_auto.rb
998
+ - lib/tdl/axi_stream/bak/axis_wrapper_oled_auto.rb
999
+ - lib/tdl/axi_stream/bak/check_stream_crc_auto.rb
1000
+ - lib/tdl/axi_stream/bak/data_to_axis_inf_A1.rb
1001
+ - lib/tdl/axi_stream/bak/data_to_axis_inf_A1_auto.rb
1002
+ - lib/tdl/axi_stream/bak/data_to_axis_inf_auto.rb
1003
+ - lib/tdl/axi_stream/bak/datainf_c_master_empty_auto.rb
1004
+ - lib/tdl/axi_stream/bak/datainf_c_slaver_empty_auto.rb
1005
+ - lib/tdl/axi_stream/bak/datainf_master_empty_auto.rb
1006
+ - lib/tdl/axi_stream/bak/datainf_slaver_empty_auto.rb
1007
+ - lib/tdl/axi_stream/bak/dynamic_port_cfg_auto.rb
1008
+ - lib/tdl/axi_stream/bak/dynnamic_addr_cfg_auto.rb
1009
+ - lib/tdl/axi_stream/bak/gen_big_field_table_auto.rb
1010
+ - lib/tdl/axi_stream/bak/gen_origin_axis_auto.rb
1011
+ - lib/tdl/axi_stream/bak/gen_simple_axis_auto.rb
1012
+ - lib/tdl/axi_stream/bak/idata_pool_axi4_auto.rb
1013
+ - lib/tdl/axi_stream/bak/parse_big_field_table_A1_auto.rb
1014
+ - lib/tdl/axi_stream/bak/parse_big_field_table_A2_auto.rb
1015
+ - lib/tdl/axi_stream/bak/parse_big_field_table_auto.rb
1016
+ - lib/tdl/axi_stream/bak/part_data_pair_map_auto.rb
1017
+ - lib/tdl/axi_stream/bak/simple_video_gen_A2.rb
1018
+ - lib/tdl/axi_stream/bak/simple_video_gen_A2_auto.rb
1019
+ - lib/tdl/axi_stream/bak/stream_crc_auto.rb
1020
+ - lib/tdl/axi_stream/bak/udp_server_bfm_auto.rb
1021
+ - lib/tdl/axi_stream/bak/udp_server_ctrl_bfm_auto.rb
1022
+ - lib/tdl/axi_stream/bak/video_to_VDMA.rb
1023
+ - lib/tdl/axi_stream/bak/video_to_VDMA_auto.rb
1024
+ - lib/tdl/axi_stream/check_stream_crc_auto.rb
1025
+ - lib/tdl/axi_stream/data_c_to_axis_full_auto.rb
1026
+ - lib/tdl/axi_stream/data_to_axis_inf_A1_auto.rb
1027
+ - lib/tdl/axi_stream/data_to_axis_inf_auto.rb
1028
+ - lib/tdl/axi_stream/gen_big_field_table_auto.rb
1029
+ - lib/tdl/axi_stream/gen_origin_axis_A1_auto.rb
1030
+ - lib/tdl/axi_stream/gen_origin_axis_auto.rb
1031
+ - lib/tdl/axi_stream/gen_simple_axis_auto.rb
1032
+ - lib/tdl/axi_stream/parse_big_field_table_A1_auto.rb
1033
+ - lib/tdl/axi_stream/parse_big_field_table_A2_auto.rb
1034
+ - lib/tdl/axi_stream/parse_big_field_table_auto.rb
1035
+ - lib/tdl/axi_stream/stream_crc_auto.rb
1036
+ - lib/tdl/basefunc.rb
1037
+ - lib/tdl/bfm/axi4_illegal_bfm.rb
1038
+ - lib/tdl/bfm/axi_stream/axi_stream_bfm.rb
1039
+ - lib/tdl/bfm/axi_stream/axis_bfm_exp.yml
1040
+ - lib/tdl/bfm/axi_stream/axis_bfm_module_build.rb
1041
+ - lib/tdl/bfm/axi_stream/axis_bfm_parse.rb
1042
+ - lib/tdl/bfm/axi_stream/axis_slice_to_logic.rb
1043
+ - lib/tdl/bfm/bfm_lib.rb
1044
+ - lib/tdl/bfm/logic_initial_block.rb
1045
+ - lib/tdl/cfg.yml
1046
+ - lib/tdl/class_hdl/hdl_always_comb.rb
1047
+ - lib/tdl/class_hdl/hdl_always_ff.rb
1048
+ - lib/tdl/class_hdl/hdl_assign.rb
1049
+ - lib/tdl/class_hdl/hdl_block_ifelse.rb
1050
+ - lib/tdl/class_hdl/hdl_data.rb
1051
+ - lib/tdl/class_hdl/hdl_ex_defarraychain.rb
1052
+ - lib/tdl/class_hdl/hdl_foreach.rb
1053
+ - lib/tdl/class_hdl/hdl_function.rb
1054
+ - lib/tdl/class_hdl/hdl_generate.rb
1055
+ - lib/tdl/class_hdl/hdl_initial.rb
1056
+ - lib/tdl/class_hdl/hdl_module_def.rb
1057
+ - lib/tdl/class_hdl/hdl_package.rb
1058
+ - lib/tdl/class_hdl/hdl_parameter.rb
1059
+ - lib/tdl/class_hdl/hdl_random.rb
1060
+ - lib/tdl/class_hdl/hdl_redefine_opertor.rb
1061
+ - lib/tdl/class_hdl/hdl_struct.rb
1062
+ - lib/tdl/class_hdl/hdl_verify.rb
1063
+ - lib/tdl/data_inf/_data_mirrors.rb
1064
+ - lib/tdl/data_inf/bak/_data_mirrors.rb
1065
+ - lib/tdl/data_inf/bak/common_fifo_auto.rb
1066
+ - lib/tdl/data_inf/bak/data_bind_auto.rb
1067
+ - lib/tdl/data_inf/bak/data_c_direct_auto.rb
1068
+ - lib/tdl/data_inf/bak/data_c_direct_mirror_auto.rb
1069
+ - lib/tdl/data_inf/bak/data_c_tmp_cache_auto.rb
1070
+ - lib/tdl/data_inf/bak/data_condition_mirror_auto.rb
1071
+ - lib/tdl/data_inf/bak/data_condition_valve_auto.rb
1072
+ - lib/tdl/data_inf/bak/data_connect_pipe.rb
1073
+ - lib/tdl/data_inf/bak/data_connect_pipe_inf_auto.rb
1074
+ - lib/tdl/data_inf/bak/data_inf_c_interconnect.rb
1075
+ - lib/tdl/data_inf/bak/data_inf_c_pipe_condition_auto.rb
1076
+ - lib/tdl/data_inf/bak/data_inf_cross_clk.rb
1077
+ - lib/tdl/data_inf/bak/data_inf_interconnect.rb
1078
+ - lib/tdl/data_inf/bak/data_inf_planer.rb
1079
+ - lib/tdl/data_inf/bak/data_inf_ticktack.rb
1080
+ - lib/tdl/data_inf/bak/data_inf_ticktock_auto.rb
1081
+ - lib/tdl/data_inf/bak/data_mirrors_auto.rb
1082
+ - lib/tdl/data_inf/bak/data_mirrors_verb.sv_auto.rb
1083
+ - lib/tdl/data_inf/bak/data_uncompress_auto.rb
1084
+ - lib/tdl/data_inf/bak/data_valve_auto.rb
1085
+ - lib/tdl/data_inf/bak/datainf_c_master_empty_auto.rb
1086
+ - lib/tdl/data_inf/bak/datainf_c_slaver_empty_auto.rb
1087
+ - lib/tdl/data_inf/bak/datainf_master_empty_auto.rb
1088
+ - lib/tdl/data_inf/bak/datainf_slaver_empty_auto.rb
1089
+ - lib/tdl/data_inf/bak/independent_clock_fifo_auto.rb
1090
+ - lib/tdl/data_inf/bak/part_data_pair_map_auto.rb
1091
+ - lib/tdl/data_inf/common_fifo_auto.rb
1092
+ - lib/tdl/data_inf/data_bind_auto.rb
1093
+ - lib/tdl/data_inf/data_c_cache_auto.rb
1094
+ - lib/tdl/data_inf/data_c_direct_auto.rb
1095
+ - lib/tdl/data_inf/data_c_direct_mirror_auto.rb
1096
+ - lib/tdl/data_inf/data_c_interconnect.rb
1097
+ - lib/tdl/data_inf/data_c_pipe_force_vld_auto.rb
1098
+ - lib/tdl/data_inf/data_c_pipe_inf_auto.rb
1099
+ - lib/tdl/data_inf/data_c_pipe_intc_M2S_verc_auto.rb
1100
+ - lib/tdl/data_inf/data_c_tmp_cache_auto.rb
1101
+ - lib/tdl/data_inf/data_condition_mirror_auto.rb
1102
+ - lib/tdl/data_inf/data_condition_valve_auto.rb
1103
+ - lib/tdl/data_inf/data_connect_pipe_inf_auto.rb
1104
+ - lib/tdl/data_inf/data_inf_c_pipe_condition_auto.rb
1105
+ - lib/tdl/data_inf/data_mirrors_auto.rb
1106
+ - lib/tdl/data_inf/data_mirrors_verb.sv_auto.rb
1107
+ - lib/tdl/data_inf/data_uncompress_auto.rb
1108
+ - lib/tdl/data_inf/data_valve_auto.rb
1109
+ - lib/tdl/data_inf/datainf_c_master_empty_auto.rb
1110
+ - lib/tdl/data_inf/datainf_c_slaver_empty_auto.rb
1111
+ - lib/tdl/data_inf/datainf_master_empty_auto.rb
1112
+ - lib/tdl/data_inf/datainf_slaver_empty_auto.rb
1113
+ - lib/tdl/data_inf/independent_clock_fifo_auto.rb
1114
+ - lib/tdl/data_inf/part_data_pair_map_auto.rb
1115
+ - lib/tdl/data_inf/path_lib.rb
1116
+ - lib/tdl/elements/Reset.rb
1117
+ - lib/tdl/elements/axi4.rb
1118
+ - lib/tdl/elements/axi_lite.rb
1119
+ - lib/tdl/elements/axi_stream.rb
1120
+ - lib/tdl/elements/clock.rb
1121
+ - lib/tdl/elements/common_configure_reg.rb
1122
+ - lib/tdl/elements/data_inf.rb
1123
+ - lib/tdl/elements/logic.rb
1124
+ - lib/tdl/elements/mail_box.rb
1125
+ - lib/tdl/elements/originclass.rb
1126
+ - lib/tdl/elements/parameter.rb
1127
+ - lib/tdl/elements/track_inf.rb
1128
+ - lib/tdl/elements/videoinf.rb
1129
+ - lib/tdl/examples/10_random/exp_random.rb
1130
+ - lib/tdl/examples/10_random/exp_random.sv
1131
+ - lib/tdl/examples/11_test_unit/dve.tcl
1132
+ - lib/tdl/examples/11_test_unit/exp_test_unit.rb
1133
+ - lib/tdl/examples/11_test_unit/exp_test_unit.sv
1134
+ - lib/tdl/examples/11_test_unit/exp_test_unit_constraints.xdc
1135
+ - lib/tdl/examples/11_test_unit/modules/sub_md0.rb
1136
+ - lib/tdl/examples/11_test_unit/modules/sub_md0.sv
1137
+ - lib/tdl/examples/11_test_unit/modules/sub_md1.rb
1138
+ - lib/tdl/examples/11_test_unit/modules/sub_md1.sv
1139
+ - lib/tdl/examples/11_test_unit/tb_exp_test_unit.sv
1140
+ - lib/tdl/examples/11_test_unit/tu0.sv
1141
+ - lib/tdl/examples/11_test_unit/tu1.sv
1142
+ - lib/tdl/examples/1_define_module/example1.rb
1143
+ - lib/tdl/examples/1_define_module/exmple_md.sv
1144
+ - lib/tdl/examples/2_hdl_class/always_comb.rb
1145
+ - lib/tdl/examples/2_hdl_class/always_ff.rb
1146
+ - lib/tdl/examples/2_hdl_class/case.rb
1147
+ - lib/tdl/examples/2_hdl_class/foreach.rb
1148
+ - lib/tdl/examples/2_hdl_class/function.rb
1149
+ - lib/tdl/examples/2_hdl_class/generate.rb
1150
+ - lib/tdl/examples/2_hdl_class/module_def.rb
1151
+ - lib/tdl/examples/2_hdl_class/module_head_import_package.rb
1152
+ - lib/tdl/examples/2_hdl_class/module_instance_test.rb
1153
+ - lib/tdl/examples/2_hdl_class/package.rb
1154
+ - lib/tdl/examples/2_hdl_class/package2.rb
1155
+ - lib/tdl/examples/2_hdl_class/simple_assign.rb
1156
+ - lib/tdl/examples/2_hdl_class/state_case.rb
1157
+ - lib/tdl/examples/2_hdl_class/struct.rb
1158
+ - lib/tdl/examples/2_hdl_class/struct_function.rb
1159
+ - lib/tdl/examples/2_hdl_class/test_axi4_M2S.rb
1160
+ - lib/tdl/examples/2_hdl_class/test_initial_assert.rb
1161
+ - lib/tdl/examples/2_hdl_class/test_inst_sugar.rb
1162
+ - lib/tdl/examples/2_hdl_class/test_module_port.rb
1163
+ - lib/tdl/examples/2_hdl_class/test_module_var.rb
1164
+ - lib/tdl/examples/2_hdl_class/tmp/always_comb_test.sv
1165
+ - lib/tdl/examples/2_hdl_class/tmp/always_ff_test.sv
1166
+ - lib/tdl/examples/2_hdl_class/tmp/case_test.sv
1167
+ - lib/tdl/examples/2_hdl_class/tmp/head_pkg_module.sv
1168
+ - lib/tdl/examples/2_hdl_class/tmp/init_module.sv
1169
+ - lib/tdl/examples/2_hdl_class/tmp/module_instance_test.sv
1170
+ - lib/tdl/examples/2_hdl_class/tmp/port_module.sv
1171
+ - lib/tdl/examples/2_hdl_class/tmp/simple_assign_test.sv
1172
+ - lib/tdl/examples/2_hdl_class/tmp/state_case_test.sv
1173
+ - lib/tdl/examples/2_hdl_class/tmp/test_axi4_M2S.sv
1174
+ - lib/tdl/examples/2_hdl_class/tmp/test_foreach.sv
1175
+ - lib/tdl/examples/2_hdl_class/tmp/test_function.sv
1176
+ - lib/tdl/examples/2_hdl_class/tmp/test_initial_assert.sv
1177
+ - lib/tdl/examples/2_hdl_class/tmp/test_inst_sugar.sv
1178
+ - lib/tdl/examples/2_hdl_class/tmp/test_module.sv
1179
+ - lib/tdl/examples/2_hdl_class/tmp/test_module_port.sv
1180
+ - lib/tdl/examples/2_hdl_class/tmp/test_module_var.sv
1181
+ - lib/tdl/examples/2_hdl_class/tmp/test_package.sv
1182
+ - lib/tdl/examples/2_hdl_class/tmp/test_package2.sv
1183
+ - lib/tdl/examples/2_hdl_class/tmp/test_struct.sv
1184
+ - lib/tdl/examples/2_hdl_class/tmp/test_struct_function.sv
1185
+ - lib/tdl/examples/2_hdl_class/tmp/test_vcs_string.sv
1186
+ - lib/tdl/examples/2_hdl_class/tmp/text_generate.sv
1187
+ - lib/tdl/examples/2_hdl_class/vcs_string.rb
1188
+ - lib/tdl/examples/3_hdl_sdl_instance/hdl_test.sv
1189
+ - lib/tdl/examples/3_hdl_sdl_instance/main.rb
1190
+ - lib/tdl/examples/3_hdl_sdl_instance/main_md.sv
1191
+ - lib/tdl/examples/3_hdl_sdl_instance/sdl_md.sv
1192
+ - lib/tdl/examples/3_hdl_sdl_instance/sdl_test.rb
1193
+ - lib/tdl/examples/4_generate/example.rb
1194
+ - lib/tdl/examples/4_generate/test_generate.sv
1195
+ - lib/tdl/examples/5_logic_combin/login_combin.rb
1196
+ - lib/tdl/examples/5_logic_combin/test_logic_combin.sv
1197
+ - lib/tdl/examples/6_module_with_interface/example.rb
1198
+ - lib/tdl/examples/6_module_with_interface/example_interface.sv
1199
+ - lib/tdl/examples/6_module_with_interface/inf_collect.rb
1200
+ - lib/tdl/examples/6_module_with_interface/inf_collect.sv
1201
+ - lib/tdl/examples/7_module_with_package/body_package.rb
1202
+ - lib/tdl/examples/7_module_with_package/body_package.sv
1203
+ - lib/tdl/examples/7_module_with_package/example_pkg.rb
1204
+ - lib/tdl/examples/7_module_with_package/example_pkg.sv
1205
+ - lib/tdl/examples/7_module_with_package/head_package.rb
1206
+ - lib/tdl/examples/7_module_with_package/head_package.sv
1207
+ - lib/tdl/examples/8_top_module/dve.tcl
1208
+ - lib/tdl/examples/8_top_module/example.rb
1209
+ - lib/tdl/examples/8_top_module/pins.yml
1210
+ - lib/tdl/examples/8_top_module/tb_test_top.sv
1211
+ - lib/tdl/examples/8_top_module/test_top.sv
1212
+ - lib/tdl/examples/8_top_module/test_top_constraints.xdc
1213
+ - lib/tdl/examples/9_itegration/A_itgt/a_test_md.sv
1214
+ - lib/tdl/examples/9_itegration/A_itgt/a_test_module.rb
1215
+ - lib/tdl/examples/9_itegration/A_itgt/itgt_module_a_block.rb
1216
+ - lib/tdl/examples/9_itegration/clock_manage/itgt_module_clock_manage.rb
1217
+ - lib/tdl/examples/9_itegration/clock_manage/simple_clock.rb
1218
+ - lib/tdl/examples/9_itegration/clock_manage/simple_clock.sv
1219
+ - lib/tdl/examples/9_itegration/dve.tcl
1220
+ - lib/tdl/examples/9_itegration/pins.yml
1221
+ - lib/tdl/examples/9_itegration/tb_test_top.sv
1222
+ - lib/tdl/examples/9_itegration/tb_test_tttop.sv
1223
+ - lib/tdl/examples/9_itegration/test_top.sv
1224
+ - lib/tdl/examples/9_itegration/test_top_constraints.xdc
1225
+ - lib/tdl/examples/9_itegration/test_tttop.sv
1226
+ - lib/tdl/examples/9_itegration/test_tttop_constraints.xdc
1227
+ - lib/tdl/examples/9_itegration/top.rb
1228
+ - lib/tdl/examples/readme.md
1229
+ - lib/tdl/exlib/common_cfg_reg_inf.rb
1230
+ - lib/tdl/exlib/constraints.rb
1231
+ - lib/tdl/exlib/constraints_verb.rb
1232
+ - lib/tdl/exlib/dve_tcl.rb
1233
+ - lib/tdl/exlib/element_class_vars.rb
1234
+ - lib/tdl/exlib/global_param.rb
1235
+ - lib/tdl/exlib/integral_test/bak/integral_test.rb
1236
+ - lib/tdl/exlib/integral_test/clock_itest.rb
1237
+ - lib/tdl/exlib/integral_test/diff_clock_itest.rb
1238
+ - lib/tdl/exlib/integral_test/io_itest.rb
1239
+ - lib/tdl/exlib/integral_test/reset_itest.rb
1240
+ - lib/tdl/exlib/integral_test/simple_logic_itest.rb
1241
+ - lib/tdl/exlib/itegration.rb
1242
+ - lib/tdl/exlib/itegration_verb.rb
1243
+ - lib/tdl/exlib/parse_argv.rb
1244
+ - lib/tdl/exlib/sdlmodule_sim.bak.rb
1245
+ - lib/tdl/exlib/test_point.rb
1246
+ - lib/tdl/global_scan.rb
1247
+ - lib/tdl/rebuild_ele/axi4.rb
1248
+ - lib/tdl/rebuild_ele/axi_lite.rb
1249
+ - lib/tdl/rebuild_ele/axi_stream.rb
1250
+ - lib/tdl/rebuild_ele/cm_ram_inf.sv
1251
+ - lib/tdl/rebuild_ele/cm_ram_inf_define.rb
1252
+ - lib/tdl/rebuild_ele/data_inf.rb
1253
+ - lib/tdl/rebuild_ele/data_inf_c.rb
1254
+ - lib/tdl/rebuild_ele/ele_base.rb
1255
+ - lib/tdl/rebuild_ele/readme.md
1256
+ - lib/tdl/sdlimplement/resource.yml
1257
+ - lib/tdl/sdlimplement/sdl_impl_module.rb
1258
+ - lib/tdl/sdlimplement/sdl_impl_param.rb
1259
+ - lib/tdl/sdlimplement/test.rb
1260
+ - lib/tdl/sdlmodule/bak/sdlmodule_varible_ex.rb
1261
+ - lib/tdl/sdlmodule/generator_block_module.rb
1262
+ - lib/tdl/sdlmodule/sdlmodule.rb
1263
+ - lib/tdl/sdlmodule/sdlmodule_arraychain.rb
1264
+ - lib/tdl/sdlmodule/sdlmodule_draw.rb
1265
+ - lib/tdl/sdlmodule/sdlmodule_head_logo.txt
1266
+ - lib/tdl/sdlmodule/sdlmodule_instance.rb
1267
+ - lib/tdl/sdlmodule/sdlmodule_port_define.rb
1268
+ - lib/tdl/sdlmodule/sdlmodule_varible.rb
1269
+ - lib/tdl/sdlmodule/sdlmodule_vcs_comptable.rb
1270
+ - lib/tdl/sdlmodule/techbench_module.rb
1271
+ - lib/tdl/sdlmodule/test_unit_module.rb
1272
+ - lib/tdl/sdlmodule/top_module.rb
1273
+ - lib/tdl/tdl.rb
1274
+ - lib/tdl/tdlerror/tdlerror.rb
1275
+ - lib/tdl/testunit/test_all.rb
1276
+ - lib/tdl/testunit/test_array_chain.rb
1277
+ - lib/tdl/testunit/test_tmp.rb
1278
+ homepage: https://rubygems.org/gems/axi_tdl
1279
+ licenses:
1280
+ - LGPL-2.1
1281
+ metadata: {}
1282
+ post_install_message:
1283
+ rdoc_options: []
1284
+ require_paths:
1285
+ - lib
1286
+ required_ruby_version: !ruby/object:Gem::Requirement
1287
+ requirements:
1288
+ - - ">="
1289
+ - !ruby/object:Gem::Version
1290
+ version: '0'
1291
+ required_rubygems_version: !ruby/object:Gem::Requirement
1292
+ requirements:
1293
+ - - ">="
1294
+ - !ruby/object:Gem::Version
1295
+ version: '0'
1296
+ requirements: []
1297
+ rubygems_version: 3.0.6
1298
+ signing_key:
1299
+ specification_version: 4
1300
+ summary: Axi is a light weight axi library. Tdl is a hardware Construction language
1301
+ test_files: []