vertigo_vhdl 0.8.2
Sign up to get free protection for your applications and to get access to all the features.
- checksums.yaml +7 -0
- data/bin/vertigo +7 -0
- data/lib/vertigo.rb +4 -0
- data/lib/vertigo/ast.rb +87 -0
- data/lib/vertigo/ast_vertigo_rkgen.rb +607 -0
- data/lib/vertigo/code.rb +57 -0
- data/lib/vertigo/compiler.rb +61 -0
- data/lib/vertigo/generic_lexer.rb +61 -0
- data/lib/vertigo/generic_parser.rb +44 -0
- data/lib/vertigo/indent.rb +20 -0
- data/lib/vertigo/lexer.rb +172 -0
- data/lib/vertigo/parser.rb +1458 -0
- data/lib/vertigo/pretty_printer.rb +749 -0
- data/lib/vertigo/runner.rb +115 -0
- data/lib/vertigo/tb_generator.rb +81 -0
- data/lib/vertigo/template.tb.vhd +72 -0
- data/lib/vertigo/token.rb +67 -0
- data/lib/vertigo/version.rb +3 -0
- data/lib/vertigo/vertigo.rkg +354 -0
- data/lib/vertigo/visitor_vertigo_rkgen.rb +447 -0
- data/tests/ghdl_tests/fsm.vhd +98 -0
- data/tests/ghdl_tests/fsm_synth.vhd +248 -0
- data/tests/ghdl_tests/test_fsm.vhd +162 -0
- data/tests/parser_tests/else.vhd +64 -0
- data/tests/parser_tests/test_MUST_fail.vhd +1 -0
- data/tests/parser_tests/test_accelerator.vhd +160 -0
- data/tests/parser_tests/test_accelerator_pp.vhd +144 -0
- data/tests/parser_tests/test_aggregate.vhd +17 -0
- data/tests/parser_tests/test_aggregate_pp.vhd +15 -0
- data/tests/parser_tests/test_archi_1.vhd +45 -0
- data/tests/parser_tests/test_archi_1_pp.vhd +41 -0
- data/tests/parser_tests/test_array_array_00.vhd +25 -0
- data/tests/parser_tests/test_array_array_00_pp.vhd +25 -0
- data/tests/parser_tests/test_array_urange.vhd +25 -0
- data/tests/parser_tests/test_array_urange_pp.vhd +25 -0
- data/tests/parser_tests/test_chu-1.vhd +80 -0
- data/tests/parser_tests/test_chu-1_pp.vhd +104 -0
- data/tests/parser_tests/test_concat.vhd +11 -0
- data/tests/parser_tests/test_concat_pp.vhd +14 -0
- data/tests/parser_tests/test_counter.vhd +35 -0
- data/tests/parser_tests/test_counter_pp.vhd +35 -0
- data/tests/parser_tests/test_de2.vhd +358 -0
- data/tests/parser_tests/test_de2_pp.vhd +274 -0
- data/tests/parser_tests/test_encode.vhd +2679 -0
- data/tests/parser_tests/test_encode_pp.vhd +2549 -0
- data/tests/parser_tests/test_fsm.vhd +162 -0
- data/tests/parser_tests/test_fsm_pp.vhd +125 -0
- data/tests/parser_tests/test_fsm_synth.vhd +248 -0
- data/tests/parser_tests/test_fsm_synth_pp.vhd +197 -0
- data/tests/parser_tests/test_function-01.vhd +33 -0
- data/tests/parser_tests/test_function-01_pp.vhd +18 -0
- data/tests/parser_tests/test_lfsr.vhd +75 -0
- data/tests/parser_tests/test_lfsr_pp.vhd +44 -0
- data/tests/parser_tests/test_microwatt_cache_ram.vhd +1 -0
- data/tests/parser_tests/test_microwatt_cache_ram_pp.vhd +68 -0
- data/tests/parser_tests/test_microwatt_common.vhd +1 -0
- data/tests/parser_tests/test_microwatt_common_pp.vhd +336 -0
- data/tests/parser_tests/test_microwatt_control.vhd +1 -0
- data/tests/parser_tests/test_microwatt_control_pp.vhd +187 -0
- data/tests/parser_tests/test_microwatt_core.vhd +1 -0
- data/tests/parser_tests/test_microwatt_core_debug.vhd +1 -0
- data/tests/parser_tests/test_microwatt_core_debug_pp.vhd +104 -0
- data/tests/parser_tests/test_microwatt_core_pp.vhd +231 -0
- data/tests/parser_tests/test_microwatt_core_tb.vhd +1 -0
- data/tests/parser_tests/test_microwatt_core_tb_pp.vhd +43 -0
- data/tests/parser_tests/test_microwatt_countzero.vhd +1 -0
- data/tests/parser_tests/test_microwatt_countzero_pp.vhd +120 -0
- data/tests/parser_tests/test_microwatt_countzero_tb.vhd +1 -0
- data/tests/parser_tests/test_microwatt_countzero_tb_pp.vhd +70 -0
- data/tests/parser_tests/test_microwatt_cr_file.vhd +1 -0
- data/tests/parser_tests/test_microwatt_cr_file_pp.vhd +74 -0
- data/tests/parser_tests/test_microwatt_cr_hazard.vhd +1 -0
- data/tests/parser_tests/test_microwatt_cr_hazard_pp.vhd +51 -0
- data/tests/parser_tests/test_microwatt_crhelpers.vhd +1 -0
- data/tests/parser_tests/test_microwatt_crhelpers_pp.vhd +48 -0
- data/tests/parser_tests/test_microwatt_dcache.vhd +1 -0
- data/tests/parser_tests/test_microwatt_dcache_pp.vhd +481 -0
- data/tests/parser_tests/test_microwatt_dcache_tb.vhd +1 -0
- data/tests/parser_tests/test_microwatt_dcache_tb_pp.vhd +98 -0
- data/tests/parser_tests/test_microwatt_decode1.vhd +1 -0
- data/tests/parser_tests/test_microwatt_decode1_pp.vhd +138 -0
- data/tests/parser_tests/test_microwatt_decode2.vhd +1 -0
- data/tests/parser_tests/test_microwatt_decode2_pp.vhd +300 -0
- data/tests/parser_tests/test_microwatt_decode_types.vhd +1 -0
- data/tests/parser_tests/test_microwatt_decode_types_pp.vhd +67 -0
- data/tests/parser_tests/test_microwatt_divider.vhd +1 -0
- data/tests/parser_tests/test_microwatt_divider_pp.vhd +132 -0
- data/tests/parser_tests/test_microwatt_divider_tb.vhd +1 -0
- data/tests/parser_tests/test_microwatt_divider_tb_pp.vhd +95 -0
- data/tests/parser_tests/test_microwatt_dmi_dtm_dummy.vhd +1 -0
- data/tests/parser_tests/test_microwatt_dmi_dtm_dummy_pp.vhd +29 -0
- data/tests/parser_tests/test_microwatt_dmi_dtm_tb.vhd +1 -0
- data/tests/parser_tests/test_microwatt_dmi_dtm_tb_pp.vhd +197 -0
- data/tests/parser_tests/test_microwatt_dmi_dtm_xilinx.vhd +1 -0
- data/tests/parser_tests/test_microwatt_dmi_dtm_xilinx_pp.vhd +139 -0
- data/tests/parser_tests/test_microwatt_execute1.vhd +1 -0
- data/tests/parser_tests/test_microwatt_execute1_pp.vhd +689 -0
- data/tests/parser_tests/test_microwatt_fetch1.vhd +1 -0
- data/tests/parser_tests/test_microwatt_fetch1_pp.vhd +88 -0
- data/tests/parser_tests/test_microwatt_fetch2.vhd +1 -0
- data/tests/parser_tests/test_microwatt_fetch2_pp.vhd +79 -0
- data/tests/parser_tests/test_microwatt_glibc_random.vhd +1 -0
- data/tests/parser_tests/test_microwatt_glibc_random_helpers.vhd +1 -0
- data/tests/parser_tests/test_microwatt_glibc_random_helpers_pp.vhd +25 -0
- data/tests/parser_tests/test_microwatt_glibc_random_pp.vhd +41 -0
- data/tests/parser_tests/test_microwatt_gpr_hazard.vhd +1 -0
- data/tests/parser_tests/test_microwatt_gpr_hazard_pp.vhd +68 -0
- data/tests/parser_tests/test_microwatt_helpers.vhd +1 -0
- data/tests/parser_tests/test_microwatt_helpers_pp.vhd +153 -0
- data/tests/parser_tests/test_microwatt_icache.vhd +1 -0
- data/tests/parser_tests/test_microwatt_icache_pp.vhd +337 -0
- data/tests/parser_tests/test_microwatt_icache_tb.vhd +1 -0
- data/tests/parser_tests/test_microwatt_icache_tb_pp.vhd +104 -0
- data/tests/parser_tests/test_microwatt_insn_helpers.vhd +1 -0
- data/tests/parser_tests/test_microwatt_insn_helpers_pp.vhd +208 -0
- data/tests/parser_tests/test_microwatt_loadstore1.vhd +1 -0
- data/tests/parser_tests/test_microwatt_loadstore1_pp.vhd +222 -0
- data/tests/parser_tests/test_microwatt_logical.vhd +1 -0
- data/tests/parser_tests/test_microwatt_logical_pp.vhd +87 -0
- data/tests/parser_tests/test_microwatt_multiply.vhd +1 -0
- data/tests/parser_tests/test_microwatt_multiply_pp.vhd +84 -0
- data/tests/parser_tests/test_microwatt_multiply_tb.vhd +1 -0
- data/tests/parser_tests/test_microwatt_multiply_tb_pp.vhd +75 -0
- data/tests/parser_tests/test_microwatt_plru.vhd +1 -0
- data/tests/parser_tests/test_microwatt_plru_pp.vhd +46 -0
- data/tests/parser_tests/test_microwatt_plru_tb.vhd +1 -0
- data/tests/parser_tests/test_microwatt_plru_tb_pp.vhd +93 -0
- data/tests/parser_tests/test_microwatt_ppc_fx_insns.vhd +1 -0
- data/tests/parser_tests/test_microwatt_ppc_fx_insns_pp.vhd +665 -0
- data/tests/parser_tests/test_microwatt_register_file.vhd +1 -0
- data/tests/parser_tests/test_microwatt_register_file_pp.vhd +86 -0
- data/tests/parser_tests/test_microwatt_rotator.vhd +1 -0
- data/tests/parser_tests/test_microwatt_rotator_pp.vhd +149 -0
- data/tests/parser_tests/test_microwatt_rotator_tb.vhd +1 -0
- data/tests/parser_tests/test_microwatt_rotator_tb_pp.vhd +134 -0
- data/tests/parser_tests/test_microwatt_sim_bram.vhd +1 -0
- data/tests/parser_tests/test_microwatt_sim_bram_helpers.vhd +1 -0
- data/tests/parser_tests/test_microwatt_sim_bram_helpers_pp.vhd +52 -0
- data/tests/parser_tests/test_microwatt_sim_bram_pp.vhd +53 -0
- data/tests/parser_tests/test_microwatt_sim_console.vhd +1 -0
- data/tests/parser_tests/test_microwatt_sim_console_pp.vhd +43 -0
- data/tests/parser_tests/test_microwatt_sim_jtag.vhd +1 -0
- data/tests/parser_tests/test_microwatt_sim_jtag_pp.vhd +64 -0
- data/tests/parser_tests/test_microwatt_sim_jtag_socket.vhd +1 -0
- data/tests/parser_tests/test_microwatt_sim_jtag_socket_pp.vhd +36 -0
- data/tests/parser_tests/test_microwatt_sim_uart.vhd +1 -0
- data/tests/parser_tests/test_microwatt_sim_uart_pp.vhd +90 -0
- data/tests/parser_tests/test_microwatt_soc.vhd +1 -0
- data/tests/parser_tests/test_microwatt_soc_pp.vhd +195 -0
- data/tests/parser_tests/test_microwatt_utils.vhd +1 -0
- data/tests/parser_tests/test_microwatt_utils_pp.vhd +39 -0
- data/tests/parser_tests/test_microwatt_wishbone_arbiter.vhd +1 -0
- data/tests/parser_tests/test_microwatt_wishbone_arbiter_pp.vhd +54 -0
- data/tests/parser_tests/test_microwatt_wishbone_bram_tb.vhd +1 -0
- data/tests/parser_tests/test_microwatt_wishbone_bram_tb_pp.vhd +157 -0
- data/tests/parser_tests/test_microwatt_wishbone_bram_wrapper.vhd +1 -0
- data/tests/parser_tests/test_microwatt_wishbone_bram_wrapper_pp.vhd +62 -0
- data/tests/parser_tests/test_microwatt_wishbone_debug_master.vhd +1 -0
- data/tests/parser_tests/test_microwatt_wishbone_debug_master_pp.vhd +124 -0
- data/tests/parser_tests/test_microwatt_wishbone_types.vhd +1 -0
- data/tests/parser_tests/test_microwatt_wishbone_types_pp.vhd +38 -0
- data/tests/parser_tests/test_microwatt_writeback.vhd +1 -0
- data/tests/parser_tests/test_microwatt_writeback_pp.vhd +87 -0
- data/tests/parser_tests/test_package-1.vhd +68 -0
- data/tests/parser_tests/test_package-1_pp.vhd +53 -0
- data/tests/parser_tests/test_precedence.vhd +13 -0
- data/tests/parser_tests/test_precedence_pp.vhd +16 -0
- data/tests/parser_tests/test_selected_sig.vhd +14 -0
- data/tests/parser_tests/test_selected_sig_pp.vhd +10 -0
- data/tests/parser_tests/test_slice.vhd +15 -0
- data/tests/parser_tests/test_slice_pp.vhd +16 -0
- data/tests/parser_tests/test_tb-00.vhd +94 -0
- data/tests/parser_tests/test_tb-00_pp.vhd +71 -0
- data/tests/parser_tests/test_type_decl_02.vhd +9 -0
- data/tests/parser_tests/test_type_decl_02_pp.vhd +11 -0
- data/tests/parser_tests/test_use.vhd +7 -0
- data/tests/parser_tests/test_use_pp.vhd +10 -0
- data/tests/parser_tests/test_while_1.vhd +38 -0
- data/tests/parser_tests/test_while_1_pp.vhd +26 -0
- data/tests/parser_tests/test_with-00.vhd +21 -0
- data/tests/parser_tests/test_with-00_pp.vhd +12 -0
- data/tests/tb_gen_tests/test_accelerator.vhd +160 -0
- metadata +224 -0
@@ -0,0 +1,274 @@
|
|
1
|
+
-- generated by Vertigo VHDL tool
|
2
|
+
library ieee;
|
3
|
+
use ieee.std_logic_1164.all;
|
4
|
+
use ieee.numeric_std.all;
|
5
|
+
|
6
|
+
entity de2 is
|
7
|
+
port(
|
8
|
+
clock_27 : in std_logic;
|
9
|
+
clock_50 : in std_logic;
|
10
|
+
ext_clock : in std_logic;
|
11
|
+
key : in std_logic_vector(3 downto 0);
|
12
|
+
sw : in std_logic_vector(17 downto 0);
|
13
|
+
hex0 : out std_logic_vector(6 downto 0);
|
14
|
+
hex1 : out std_logic_vector(6 downto 0);
|
15
|
+
hex2 : out std_logic_vector(6 downto 0);
|
16
|
+
hex3 : out std_logic_vector(6 downto 0);
|
17
|
+
hex4 : out std_logic_vector(6 downto 0);
|
18
|
+
hex5 : out std_logic_vector(6 downto 0);
|
19
|
+
hex6 : out std_logic_vector(6 downto 0);
|
20
|
+
hex7 : out std_logic_vector(6 downto 0);
|
21
|
+
ledg : out std_logic_vector(7 downto 0);
|
22
|
+
ledr : out std_logic_vector(17 downto 0);
|
23
|
+
uart_txd : out std_logic;
|
24
|
+
uart_rxd : in std_logic;
|
25
|
+
irda_rxd : in std_logic;
|
26
|
+
dram_dq : inout std_logic_vector(15 downto 0);
|
27
|
+
dram_addr : out std_logic_vector(11 downto 0);
|
28
|
+
dram_ldqm : out std_logic;
|
29
|
+
dram_udqm : out std_logic;
|
30
|
+
dram_we_n : out std_logic;
|
31
|
+
dram_cas_n : out std_logic;
|
32
|
+
dram_ras_n : out std_logic;
|
33
|
+
dram_cs_n : out std_logic;
|
34
|
+
dram_ba_0 : out std_logic;
|
35
|
+
dram_ba_1 : out std_logic;
|
36
|
+
dram_clk : out std_logic;
|
37
|
+
dram_cke : out std_logic;
|
38
|
+
fl_dq : inout std_logic_vector(7 downto 0);
|
39
|
+
fl_addr : out std_logic_vector(21 downto 0);
|
40
|
+
fl_we_n : out std_logic;
|
41
|
+
fl_rst_n : out std_logic;
|
42
|
+
fl_oe_n : out std_logic;
|
43
|
+
fl_ce_n : out std_logic;
|
44
|
+
sram_dq : inout std_logic_vector(15 downto 0);
|
45
|
+
sram_addr : out std_logic_vector(17 downto 0);
|
46
|
+
sram_ub_n : out std_logic;
|
47
|
+
sram_lb_n : out std_logic;
|
48
|
+
sram_we_n : out std_logic;
|
49
|
+
sram_ce_n : out std_logic;
|
50
|
+
sram_oe_n : out std_logic;
|
51
|
+
otg_data : inout std_logic_vector(15 downto 0);
|
52
|
+
otg_addr : out std_logic_vector(1 downto 0);
|
53
|
+
otg_cs_n : out std_logic;
|
54
|
+
otg_rd_n : out std_logic;
|
55
|
+
otg_wr_n : out std_logic;
|
56
|
+
otg_rst_n : out std_logic;
|
57
|
+
otg_fspeed : out std_logic;
|
58
|
+
otg_lspeed : out std_logic;
|
59
|
+
otg_int0 : in std_logic;
|
60
|
+
otg_int1 : in std_logic;
|
61
|
+
otg_dreq0 : in std_logic;
|
62
|
+
otg_dreq1 : in std_logic;
|
63
|
+
otg_dack0_n : out std_logic;
|
64
|
+
otg_dack1_n : out std_logic;
|
65
|
+
lcd_on : out std_logic;
|
66
|
+
lcd_blon : out std_logic;
|
67
|
+
lcd_rw : out std_logic;
|
68
|
+
lcd_en : out std_logic;
|
69
|
+
lcd_rs : out std_logic;
|
70
|
+
lcd_data : inout std_logic_vector(7 downto 0);
|
71
|
+
sd_dat : inout std_logic;
|
72
|
+
sd_dat3 : inout std_logic;
|
73
|
+
sd_cmd : inout std_logic;
|
74
|
+
sd_clk : out std_logic;
|
75
|
+
tdi : in std_logic;
|
76
|
+
tck : in std_logic;
|
77
|
+
tcs : in std_logic;
|
78
|
+
tdo : out std_logic;
|
79
|
+
i2c_sdat : inout std_logic;
|
80
|
+
i2c_sclk : out std_logic;
|
81
|
+
ps2_dat : in std_logic;
|
82
|
+
ps2_clk : in std_logic;
|
83
|
+
vga_clk : out std_logic;
|
84
|
+
vga_hs : out std_logic;
|
85
|
+
vga_vs : out std_logic;
|
86
|
+
vga_blank : out std_logic;
|
87
|
+
vga_sync : out std_logic;
|
88
|
+
vga_r : out std_logic_vector(9 downto 0);
|
89
|
+
vga_g : out std_logic_vector(9 downto 0);
|
90
|
+
vga_b : out std_logic_vector(9 downto 0);
|
91
|
+
enet_data : inout std_logic_vector(15 downto 0);
|
92
|
+
enet_cmd : out std_logic;
|
93
|
+
enet_cs_n : out std_logic;
|
94
|
+
enet_wr_n : out std_logic;
|
95
|
+
enet_rd_n : out std_logic;
|
96
|
+
enet_rst_n : out std_logic;
|
97
|
+
enet_clk : out std_logic;
|
98
|
+
enet_int : in std_logic;
|
99
|
+
aud_adclrck : inout std_logic;
|
100
|
+
aud_adcdat : in std_logic;
|
101
|
+
aud_daclrck : inout std_logic;
|
102
|
+
aud_dacdat : out std_logic;
|
103
|
+
aud_bclk : inout std_logic;
|
104
|
+
aud_xck : out std_logic;
|
105
|
+
td_data : in std_logic_vector(7 downto 0);
|
106
|
+
td_hs : in std_logic;
|
107
|
+
td_vs : in std_logic;
|
108
|
+
td_reset : out std_logic;
|
109
|
+
gpio_0 : inout std_logic_vector(35 downto 0);
|
110
|
+
gpio_1 : inout std_logic_vector(35 downto 0));
|
111
|
+
end entity de2;
|
112
|
+
|
113
|
+
architecture rtl of de2 is
|
114
|
+
signal reset_n : std_logic;
|
115
|
+
signal start : std_logic;
|
116
|
+
signal req_a : std_logic;
|
117
|
+
signal req_b : std_logic;
|
118
|
+
signal a : std_logic_vector(3 downto 0);
|
119
|
+
signal b : std_logic_vector(3 downto 0);
|
120
|
+
signal ready : std_logic;
|
121
|
+
signal res : std_logic_vector(7 downto 0);
|
122
|
+
signal state_o : std_logic_vector(3 downto 0);
|
123
|
+
signal state_num : std_logic_vector(3 downto 0);
|
124
|
+
|
125
|
+
function binto7seg(bin : std_logic_vector(3 downto 0)) return std_logic_vector is
|
126
|
+
variable res : std_logic_vector(6 downto 0);
|
127
|
+
begin
|
128
|
+
res := "0000000";
|
129
|
+
case bin is
|
130
|
+
when "0000" =>
|
131
|
+
res := "0111111";
|
132
|
+
when "0001" =>
|
133
|
+
res := "0000110";
|
134
|
+
when "0010" =>
|
135
|
+
res := "1011011";
|
136
|
+
when "0011" =>
|
137
|
+
res := "1001111";
|
138
|
+
when "0100" =>
|
139
|
+
res := "1100110";
|
140
|
+
when "0101" =>
|
141
|
+
res := "1101101";
|
142
|
+
when "0110" =>
|
143
|
+
res := "1111101";
|
144
|
+
when "0111" =>
|
145
|
+
res := "0000111";
|
146
|
+
when "1000" =>
|
147
|
+
res := "1111111";
|
148
|
+
when "1001" =>
|
149
|
+
res := "1101111";
|
150
|
+
when "1010" =>
|
151
|
+
res := "1110111";
|
152
|
+
when "1011" =>
|
153
|
+
res := "1111100";
|
154
|
+
when "1100" =>
|
155
|
+
res := "0111001";
|
156
|
+
when "1101" =>
|
157
|
+
res := "1011110";
|
158
|
+
when "1110" =>
|
159
|
+
res := "1111001";
|
160
|
+
when "1111" =>
|
161
|
+
res := "1110001";
|
162
|
+
when others =>
|
163
|
+
null;
|
164
|
+
end case;
|
165
|
+
return res;
|
166
|
+
end function binto7seg;
|
167
|
+
|
168
|
+
function one_hot_to_dec(bin : std_logic_vector(3 downto 0)) return std_logic_vector is
|
169
|
+
variable res : std_logic_vector(3 downto 0);
|
170
|
+
begin
|
171
|
+
res := "0000";
|
172
|
+
return res;
|
173
|
+
end function one_hot_to_dec;
|
174
|
+
signal sta : std_logic_vector(3 downto 0);
|
175
|
+
constant pressed : std_logic := '0';
|
176
|
+
begin
|
177
|
+
|
178
|
+
reset_n <= '0' when key(0) = pressed else '1';
|
179
|
+
start <= '1' when key(1) = pressed else '0';
|
180
|
+
req_a <= '1' when key(2) = pressed else '0';
|
181
|
+
req_b <= '1' when key(3) = pressed else '0';
|
182
|
+
a <= sw(3 downto 0);
|
183
|
+
b <= sw(3 downto 0);
|
184
|
+
ledg(0) <= ready;
|
185
|
+
hex0 <= binto7seg(res(3 downto 0)) when ready = '1' else binto7seg(sw(3 downto 0));
|
186
|
+
hex1 <= binto7seg(res(7 downto 4)) when ready = '1' else "0001000";
|
187
|
+
hex5 <= binto7seg("0101");
|
188
|
+
hex4 <= binto7seg(state_num);
|
189
|
+
hex2 <= "0001000";
|
190
|
+
hex3 <= "0001000";
|
191
|
+
hex6 <= "0001000";
|
192
|
+
hex7 <= "0001000" when start = '1' else "0001000";
|
193
|
+
state_num <= one_hot_to_dec(state_o);
|
194
|
+
design : entity work.multseq(logic)
|
195
|
+
port map(
|
196
|
+
clk => clock_27,
|
197
|
+
reset_n => reset_n,
|
198
|
+
start => start,
|
199
|
+
req_a => req_a,
|
200
|
+
req_b => req_b,
|
201
|
+
a => a,
|
202
|
+
b => b,
|
203
|
+
ready => ready,
|
204
|
+
res => res,
|
205
|
+
state_o => state_o);
|
206
|
+
|
207
|
+
ledr <= (others => '0');
|
208
|
+
lcd_on <= '1';
|
209
|
+
lcd_blon <= '1';
|
210
|
+
lcd_rw <= '1';
|
211
|
+
lcd_en <= '0';
|
212
|
+
lcd_rs <= '0';
|
213
|
+
vga_clk <= '0';
|
214
|
+
vga_hs <= '0';
|
215
|
+
vga_vs <= '0';
|
216
|
+
vga_blank <= '0';
|
217
|
+
vga_sync <= '0';
|
218
|
+
vga_r <= (others => '0');
|
219
|
+
vga_g <= (others => '0');
|
220
|
+
vga_b <= (others => '0');
|
221
|
+
sd_dat3 <= '1';
|
222
|
+
sd_cmd <= '1';
|
223
|
+
sd_clk <= '1';
|
224
|
+
sram_dq <= (others => 'z');
|
225
|
+
sram_addr <= (others => '0');
|
226
|
+
sram_ub_n <= '1';
|
227
|
+
sram_lb_n <= '1';
|
228
|
+
sram_ce_n <= '1';
|
229
|
+
sram_we_n <= '1';
|
230
|
+
sram_oe_n <= '1';
|
231
|
+
uart_txd <= '0';
|
232
|
+
dram_addr <= (others => '0');
|
233
|
+
dram_ldqm <= '0';
|
234
|
+
dram_udqm <= '0';
|
235
|
+
dram_we_n <= '1';
|
236
|
+
dram_cas_n <= '1';
|
237
|
+
dram_ras_n <= '1';
|
238
|
+
dram_cs_n <= '1';
|
239
|
+
dram_ba_0 <= '0';
|
240
|
+
dram_ba_1 <= '0';
|
241
|
+
dram_clk <= '0';
|
242
|
+
dram_cke <= '0';
|
243
|
+
fl_addr <= (others => '0');
|
244
|
+
fl_we_n <= '1';
|
245
|
+
fl_rst_n <= '0';
|
246
|
+
fl_oe_n <= '1';
|
247
|
+
fl_ce_n <= '1';
|
248
|
+
otg_addr <= (others => '0');
|
249
|
+
otg_cs_n <= '1';
|
250
|
+
otg_rd_n <= '1';
|
251
|
+
otg_rd_n <= '1';
|
252
|
+
otg_wr_n <= '1';
|
253
|
+
otg_rst_n <= '1';
|
254
|
+
otg_fspeed <= '1';
|
255
|
+
otg_lspeed <= '1';
|
256
|
+
otg_dack0_n <= '1';
|
257
|
+
otg_dack1_n <= '1';
|
258
|
+
tdo <= '0';
|
259
|
+
enet_cmd <= '0';
|
260
|
+
enet_cs_n <= '1';
|
261
|
+
enet_wr_n <= '1';
|
262
|
+
enet_rd_n <= '1';
|
263
|
+
enet_rst_n <= '1';
|
264
|
+
enet_clk <= '0';
|
265
|
+
td_reset <= '1';
|
266
|
+
dram_dq <= (others => 'z');
|
267
|
+
fl_dq <= (others => 'z');
|
268
|
+
otg_data <= (others => 'z');
|
269
|
+
lcd_data <= (others => 'z');
|
270
|
+
sd_dat <= 'z';
|
271
|
+
enet_data <= (others => 'z');
|
272
|
+
gpio_0 <= (others => 'z');
|
273
|
+
gpio_1 <= (others => 'z');
|
274
|
+
end rtl;
|
@@ -0,0 +1,2679 @@
|
|
1
|
+
-- ==============================================================
|
2
|
+
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
|
3
|
+
-- Version: 2017.3
|
4
|
+
-- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved.
|
5
|
+
--
|
6
|
+
-- ===========================================================
|
7
|
+
|
8
|
+
library IEEE;
|
9
|
+
use IEEE.std_logic_1164.all;
|
10
|
+
use IEEE.numeric_std.all;
|
11
|
+
|
12
|
+
entity encode is
|
13
|
+
port (
|
14
|
+
ap_clk : IN STD_LOGIC;
|
15
|
+
ap_rst : IN STD_LOGIC;
|
16
|
+
ap_start : IN STD_LOGIC;
|
17
|
+
ap_done : OUT STD_LOGIC;
|
18
|
+
ap_idle : OUT STD_LOGIC;
|
19
|
+
ap_ready : OUT STD_LOGIC;
|
20
|
+
xin1 : IN STD_LOGIC_VECTOR (31 downto 0);
|
21
|
+
xin2 : IN STD_LOGIC_VECTOR (31 downto 0);
|
22
|
+
ap_return : OUT STD_LOGIC_VECTOR (31 downto 0) );
|
23
|
+
end;
|
24
|
+
|
25
|
+
|
26
|
+
architecture behav of encode is
|
27
|
+
attribute CORE_GENERATION_INFO : STRING;
|
28
|
+
attribute CORE_GENERATION_INFO of behav : architecture is
|
29
|
+
"encode,hls_ip_2017_3,{HLS_INPUT_TYPE=c,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7a100tcsg324-2,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=8.635000,HLS_SYN_LAT=249,HLS_SYN_TPT=none,HLS_SYN_MEM=6,HLS_SYN_DSP=63,HLS_SYN_FF=3105,HLS_SYN_LUT=4624}";
|
30
|
+
constant ap_const_logic_1 : STD_LOGIC := '1';
|
31
|
+
constant ap_const_logic_0 : STD_LOGIC := '0';
|
32
|
+
constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000000000000000001";
|
33
|
+
constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000000000000000010";
|
34
|
+
constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000000000000000100";
|
35
|
+
constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000000000000001000";
|
36
|
+
constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000000000000010000";
|
37
|
+
constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000000000000100000";
|
38
|
+
constant ap_ST_fsm_state7 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000000000001000000";
|
39
|
+
constant ap_ST_fsm_state8 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000000000010000000";
|
40
|
+
constant ap_ST_fsm_state9 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000000000100000000";
|
41
|
+
constant ap_ST_fsm_state10 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000000001000000000";
|
42
|
+
constant ap_ST_fsm_state11 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000000010000000000";
|
43
|
+
constant ap_ST_fsm_state12 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000000100000000000";
|
44
|
+
constant ap_ST_fsm_state13 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000001000000000000";
|
45
|
+
constant ap_ST_fsm_state14 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000010000000000000";
|
46
|
+
constant ap_ST_fsm_state15 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000100000000000000";
|
47
|
+
constant ap_ST_fsm_state16 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000001000000000000000";
|
48
|
+
constant ap_ST_fsm_state17 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000010000000000000000";
|
49
|
+
constant ap_ST_fsm_state18 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000100000000000000000";
|
50
|
+
constant ap_ST_fsm_state19 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000001000000000000000000";
|
51
|
+
constant ap_ST_fsm_state20 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000010000000000000000000";
|
52
|
+
constant ap_ST_fsm_state21 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000100000000000000000000";
|
53
|
+
constant ap_ST_fsm_state22 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000001000000000000000000000";
|
54
|
+
constant ap_ST_fsm_state23 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000010000000000000000000000";
|
55
|
+
constant ap_ST_fsm_state24 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000100000000000000000000000";
|
56
|
+
constant ap_ST_fsm_state25 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000001000000000000000000000000";
|
57
|
+
constant ap_ST_fsm_state26 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000010000000000000000000000000";
|
58
|
+
constant ap_ST_fsm_state27 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000100000000000000000000000000";
|
59
|
+
constant ap_ST_fsm_state28 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000001000000000000000000000000000";
|
60
|
+
constant ap_ST_fsm_state29 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000010000000000000000000000000000";
|
61
|
+
constant ap_ST_fsm_state30 : STD_LOGIC_VECTOR (41 downto 0) := "000000000000100000000000000000000000000000";
|
62
|
+
constant ap_ST_fsm_state31 : STD_LOGIC_VECTOR (41 downto 0) := "000000000001000000000000000000000000000000";
|
63
|
+
constant ap_ST_fsm_state32 : STD_LOGIC_VECTOR (41 downto 0) := "000000000010000000000000000000000000000000";
|
64
|
+
constant ap_ST_fsm_state33 : STD_LOGIC_VECTOR (41 downto 0) := "000000000100000000000000000000000000000000";
|
65
|
+
constant ap_ST_fsm_state34 : STD_LOGIC_VECTOR (41 downto 0) := "000000001000000000000000000000000000000000";
|
66
|
+
constant ap_ST_fsm_state35 : STD_LOGIC_VECTOR (41 downto 0) := "000000010000000000000000000000000000000000";
|
67
|
+
constant ap_ST_fsm_state36 : STD_LOGIC_VECTOR (41 downto 0) := "000000100000000000000000000000000000000000";
|
68
|
+
constant ap_ST_fsm_state37 : STD_LOGIC_VECTOR (41 downto 0) := "000001000000000000000000000000000000000000";
|
69
|
+
constant ap_ST_fsm_state38 : STD_LOGIC_VECTOR (41 downto 0) := "000010000000000000000000000000000000000000";
|
70
|
+
constant ap_ST_fsm_state39 : STD_LOGIC_VECTOR (41 downto 0) := "000100000000000000000000000000000000000000";
|
71
|
+
constant ap_ST_fsm_state40 : STD_LOGIC_VECTOR (41 downto 0) := "001000000000000000000000000000000000000000";
|
72
|
+
constant ap_ST_fsm_state41 : STD_LOGIC_VECTOR (41 downto 0) := "010000000000000000000000000000000000000000";
|
73
|
+
constant ap_ST_fsm_state42 : STD_LOGIC_VECTOR (41 downto 0) := "100000000000000000000000000000000000000000";
|
74
|
+
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
75
|
+
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
|
76
|
+
constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100";
|
77
|
+
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
|
78
|
+
constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011";
|
79
|
+
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
|
80
|
+
constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101";
|
81
|
+
constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110";
|
82
|
+
constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111";
|
83
|
+
constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000";
|
84
|
+
constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001";
|
85
|
+
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
|
86
|
+
constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011";
|
87
|
+
constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100";
|
88
|
+
constant ap_const_lv32_D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001101";
|
89
|
+
constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110";
|
90
|
+
constant ap_const_lv32_F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001111";
|
91
|
+
constant ap_const_lv32_10 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010000";
|
92
|
+
constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001";
|
93
|
+
constant ap_const_lv32_12 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010010";
|
94
|
+
constant ap_const_lv32_13 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010011";
|
95
|
+
constant ap_const_lv32_14 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010100";
|
96
|
+
constant ap_const_lv32_15 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010101";
|
97
|
+
constant ap_const_lv32_16 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010110";
|
98
|
+
constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111";
|
99
|
+
constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000";
|
100
|
+
constant ap_const_lv32_1A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011010";
|
101
|
+
constant ap_const_lv32_1B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011011";
|
102
|
+
constant ap_const_lv32_1C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011100";
|
103
|
+
constant ap_const_lv32_1D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011101";
|
104
|
+
constant ap_const_lv32_1E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011110";
|
105
|
+
constant ap_const_lv32_1F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011111";
|
106
|
+
constant ap_const_lv32_20 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100000";
|
107
|
+
constant ap_const_lv32_21 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100001";
|
108
|
+
constant ap_const_lv32_22 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100010";
|
109
|
+
constant ap_const_lv32_23 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100011";
|
110
|
+
constant ap_const_lv32_24 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100100";
|
111
|
+
constant ap_const_lv32_25 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100101";
|
112
|
+
constant ap_const_lv32_26 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100110";
|
113
|
+
constant ap_const_lv32_27 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100111";
|
114
|
+
constant ap_const_lv32_28 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101000";
|
115
|
+
constant ap_const_lv5_2 : STD_LOGIC_VECTOR (4 downto 0) := "00010";
|
116
|
+
constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000";
|
117
|
+
constant ap_const_lv6_0 : STD_LOGIC_VECTOR (5 downto 0) := "000000";
|
118
|
+
constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010";
|
119
|
+
constant ap_const_lv5_0 : STD_LOGIC_VECTOR (4 downto 0) := "00000";
|
120
|
+
constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000";
|
121
|
+
constant ap_const_lv32_19 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011001";
|
122
|
+
constant ap_const_lv32_29 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101001";
|
123
|
+
constant ap_const_lv5_1 : STD_LOGIC_VECTOR (4 downto 0) := "00001";
|
124
|
+
constant ap_const_lv5_16 : STD_LOGIC_VECTOR (4 downto 0) := "10110";
|
125
|
+
constant ap_const_lv5_17 : STD_LOGIC_VECTOR (4 downto 0) := "10111";
|
126
|
+
constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00";
|
127
|
+
constant ap_const_lv39_7FFFFFFFD4 : STD_LOGIC_VECTOR (38 downto 0) := "111111111111111111111111111111111010100";
|
128
|
+
constant ap_const_lv4_A : STD_LOGIC_VECTOR (3 downto 0) := "1010";
|
129
|
+
constant ap_const_lv4_1 : STD_LOGIC_VECTOR (3 downto 0) := "0001";
|
130
|
+
constant ap_const_lv6_17 : STD_LOGIC_VECTOR (5 downto 0) := "010111";
|
131
|
+
constant ap_const_lv6_3F : STD_LOGIC_VECTOR (5 downto 0) := "111111";
|
132
|
+
constant ap_const_lv6_15 : STD_LOGIC_VECTOR (5 downto 0) := "010101";
|
133
|
+
constant ap_const_lv32_2E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101110";
|
134
|
+
constant ap_const_lv3_1 : STD_LOGIC_VECTOR (2 downto 0) := "001";
|
135
|
+
constant ap_const_lv3_5 : STD_LOGIC_VECTOR (2 downto 0) := "101";
|
136
|
+
constant ap_const_lv32_2D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101101";
|
137
|
+
constant ap_const_lv5_1E : STD_LOGIC_VECTOR (4 downto 0) := "11110";
|
138
|
+
constant ap_const_lv7_0 : STD_LOGIC_VECTOR (6 downto 0) := "0000000";
|
139
|
+
constant ap_const_lv31_0 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000000";
|
140
|
+
constant ap_const_lv31_4800 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000100100000000000";
|
141
|
+
constant ap_const_lv15_4800 : STD_LOGIC_VECTOR (14 downto 0) := "100100000000000";
|
142
|
+
constant ap_const_lv4_9 : STD_LOGIC_VECTOR (3 downto 0) := "1001";
|
143
|
+
constant ap_const_lv32_3F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000111111";
|
144
|
+
constant ap_const_lv35_0 : STD_LOGIC_VECTOR (34 downto 0) := "00000000000000000000000000000000000";
|
145
|
+
constant ap_const_lv29_1FFFFF80 : STD_LOGIC_VECTOR (28 downto 0) := "11111111111111111111110000000";
|
146
|
+
constant ap_const_lv29_80 : STD_LOGIC_VECTOR (28 downto 0) := "00000000000000000000010000000";
|
147
|
+
constant ap_const_lv8_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000";
|
148
|
+
constant ap_const_lv32_FFFFFF40 : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111101000000";
|
149
|
+
constant ap_const_lv32_C0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000011000000";
|
150
|
+
constant ap_const_lv32_3000 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000011000000000000";
|
151
|
+
constant ap_const_lv32_FFFFD000 : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111101000000000000";
|
152
|
+
constant ap_const_lv15_5000 : STD_LOGIC_VECTOR (14 downto 0) := "101000000000000";
|
153
|
+
constant ap_const_lv15_3C00 : STD_LOGIC_VECTOR (14 downto 0) := "011110000000000";
|
154
|
+
constant ap_const_lv16_0 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000000";
|
155
|
+
constant ap_const_lv43_234 : STD_LOGIC_VECTOR (42 downto 0) := "0000000000000000000000000000000001000110100";
|
156
|
+
constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01";
|
157
|
+
constant ap_const_lv2_3 : STD_LOGIC_VECTOR (1 downto 0) := "11";
|
158
|
+
constant ap_const_lv32_2A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101010";
|
159
|
+
constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10";
|
160
|
+
constant ap_const_lv32_FFFFE310 : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111110001100010000";
|
161
|
+
constant ap_const_lv32_FFFFF9B0 : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111100110110000";
|
162
|
+
constant ap_const_lv32_1CF0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000001110011110000";
|
163
|
+
constant ap_const_lv32_650 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000011001010000";
|
164
|
+
constant ap_const_lv32_31E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000001100011110";
|
165
|
+
constant ap_const_lv32_FFFFFF2A : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111100101010";
|
166
|
+
constant ap_const_lv31_5800 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000101100000000000";
|
167
|
+
constant ap_const_lv15_5800 : STD_LOGIC_VECTOR (14 downto 0) := "101100000000000";
|
168
|
+
constant ap_const_lv4_B : STD_LOGIC_VECTOR (3 downto 0) := "1011";
|
169
|
+
constant ap_const_boolean_1 : BOOLEAN := true;
|
170
|
+
|
171
|
+
signal ap_CS_fsm : STD_LOGIC_VECTOR (41 downto 0) := "000000000000000000000000000000000000000001";
|
172
|
+
attribute fsm_encoding : string;
|
173
|
+
attribute fsm_encoding of ap_CS_fsm : signal is "none";
|
174
|
+
signal ap_CS_fsm_state1 : STD_LOGIC;
|
175
|
+
attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none";
|
176
|
+
signal tqmf_address0 : STD_LOGIC_VECTOR (4 downto 0);
|
177
|
+
signal tqmf_ce0 : STD_LOGIC;
|
178
|
+
signal tqmf_we0 : STD_LOGIC;
|
179
|
+
signal tqmf_q0 : STD_LOGIC_VECTOR (31 downto 0);
|
180
|
+
signal tqmf_address1 : STD_LOGIC_VECTOR (4 downto 0);
|
181
|
+
signal tqmf_ce1 : STD_LOGIC;
|
182
|
+
signal tqmf_we1 : STD_LOGIC;
|
183
|
+
signal tqmf_d1 : STD_LOGIC_VECTOR (31 downto 0);
|
184
|
+
signal h_address0 : STD_LOGIC_VECTOR (4 downto 0);
|
185
|
+
signal h_ce0 : STD_LOGIC;
|
186
|
+
signal h_q0 : STD_LOGIC_VECTOR (14 downto 0);
|
187
|
+
signal h_address1 : STD_LOGIC_VECTOR (4 downto 0);
|
188
|
+
signal h_ce1 : STD_LOGIC;
|
189
|
+
signal h_q1 : STD_LOGIC_VECTOR (14 downto 0);
|
190
|
+
signal xh : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
191
|
+
signal delay_bpl_address0 : STD_LOGIC_VECTOR (2 downto 0);
|
192
|
+
signal delay_bpl_ce0 : STD_LOGIC;
|
193
|
+
signal delay_bpl_we0 : STD_LOGIC;
|
194
|
+
signal delay_bpl_q0 : STD_LOGIC_VECTOR (31 downto 0);
|
195
|
+
signal delay_dltx_address0 : STD_LOGIC_VECTOR (2 downto 0);
|
196
|
+
signal delay_dltx_ce0 : STD_LOGIC;
|
197
|
+
signal delay_dltx_we0 : STD_LOGIC;
|
198
|
+
signal delay_dltx_q0 : STD_LOGIC_VECTOR (31 downto 0);
|
199
|
+
signal delay_dltx_ce1 : STD_LOGIC;
|
200
|
+
signal delay_dltx_we1 : STD_LOGIC;
|
201
|
+
signal delay_dltx_q1 : STD_LOGIC_VECTOR (31 downto 0);
|
202
|
+
signal rlt1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
203
|
+
signal al1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
204
|
+
signal rlt2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
205
|
+
signal al2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
206
|
+
signal sl : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
207
|
+
signal detl : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
208
|
+
signal decis_levl_address0 : STD_LOGIC_VECTOR (4 downto 0);
|
209
|
+
signal decis_levl_ce0 : STD_LOGIC;
|
210
|
+
signal decis_levl_q0 : STD_LOGIC_VECTOR (14 downto 0);
|
211
|
+
signal quant26bt_pos_address0 : STD_LOGIC_VECTOR (4 downto 0);
|
212
|
+
signal quant26bt_pos_ce0 : STD_LOGIC;
|
213
|
+
signal quant26bt_pos_q0 : STD_LOGIC_VECTOR (5 downto 0);
|
214
|
+
signal quant26bt_neg_address0 : STD_LOGIC_VECTOR (4 downto 0);
|
215
|
+
signal quant26bt_neg_ce0 : STD_LOGIC;
|
216
|
+
signal quant26bt_neg_q0 : STD_LOGIC_VECTOR (5 downto 0);
|
217
|
+
signal il : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
218
|
+
signal qq4_code4_table_address0 : STD_LOGIC_VECTOR (3 downto 0);
|
219
|
+
signal qq4_code4_table_ce0 : STD_LOGIC;
|
220
|
+
signal qq4_code4_table_q0 : STD_LOGIC_VECTOR (15 downto 0);
|
221
|
+
signal dlt : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
222
|
+
signal nbl : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
223
|
+
signal wl_code_table_address0 : STD_LOGIC_VECTOR (3 downto 0);
|
224
|
+
signal wl_code_table_ce0 : STD_LOGIC;
|
225
|
+
signal wl_code_table_q0 : STD_LOGIC_VECTOR (12 downto 0);
|
226
|
+
signal ilb_table_address0 : STD_LOGIC_VECTOR (4 downto 0);
|
227
|
+
signal ilb_table_ce0 : STD_LOGIC;
|
228
|
+
signal ilb_table_q0 : STD_LOGIC_VECTOR (11 downto 0);
|
229
|
+
signal plt : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
230
|
+
signal plt1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
231
|
+
signal plt2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
232
|
+
signal delay_bph_address0 : STD_LOGIC_VECTOR (2 downto 0);
|
233
|
+
signal delay_bph_ce0 : STD_LOGIC;
|
234
|
+
signal delay_bph_we0 : STD_LOGIC;
|
235
|
+
signal delay_bph_q0 : STD_LOGIC_VECTOR (31 downto 0);
|
236
|
+
signal delay_dhx_address0 : STD_LOGIC_VECTOR (2 downto 0);
|
237
|
+
signal delay_dhx_ce0 : STD_LOGIC;
|
238
|
+
signal delay_dhx_we0 : STD_LOGIC;
|
239
|
+
signal delay_dhx_q0 : STD_LOGIC_VECTOR (31 downto 0);
|
240
|
+
signal delay_dhx_ce1 : STD_LOGIC;
|
241
|
+
signal delay_dhx_we1 : STD_LOGIC;
|
242
|
+
signal delay_dhx_q1 : STD_LOGIC_VECTOR (31 downto 0);
|
243
|
+
signal rh1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
244
|
+
signal ah1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
245
|
+
signal rh2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
246
|
+
signal ah2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
247
|
+
signal sh : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
248
|
+
signal ih : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
249
|
+
signal deth : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
250
|
+
signal dh : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
251
|
+
signal nbh : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
252
|
+
signal ph : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
253
|
+
signal ph1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
254
|
+
signal ph2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
|
255
|
+
signal reg_688 : STD_LOGIC_VECTOR (31 downto 0);
|
256
|
+
signal ap_CS_fsm_state2 : STD_LOGIC;
|
257
|
+
attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none";
|
258
|
+
signal ap_CS_fsm_state5 : STD_LOGIC;
|
259
|
+
attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none";
|
260
|
+
signal ap_CS_fsm_state3 : STD_LOGIC;
|
261
|
+
attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none";
|
262
|
+
signal xa_cast_fu_722_p1 : STD_LOGIC_VECTOR (49 downto 0);
|
263
|
+
signal xb_cast_fu_736_p1 : STD_LOGIC_VECTOR (49 downto 0);
|
264
|
+
signal ap_CS_fsm_state4 : STD_LOGIC;
|
265
|
+
attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none";
|
266
|
+
signal tqmf_addr_reg_2673 : STD_LOGIC_VECTOR (4 downto 0);
|
267
|
+
signal i_4_fu_752_p2 : STD_LOGIC_VECTOR (3 downto 0);
|
268
|
+
signal i_4_reg_2681 : STD_LOGIC_VECTOR (3 downto 0);
|
269
|
+
signal exitcond2_fu_746_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
270
|
+
signal phitmp_fu_770_p2 : STD_LOGIC_VECTOR (4 downto 0);
|
271
|
+
signal phitmp_reg_2696 : STD_LOGIC_VECTOR (4 downto 0);
|
272
|
+
signal h_load_reg_2701 : STD_LOGIC_VECTOR (14 downto 0);
|
273
|
+
signal h_ptr_load_reg_2706 : STD_LOGIC_VECTOR (14 downto 0);
|
274
|
+
signal tmp_9_fu_783_p2 : STD_LOGIC_VECTOR (45 downto 0);
|
275
|
+
signal tmp_9_reg_2711 : STD_LOGIC_VECTOR (45 downto 0);
|
276
|
+
signal ap_CS_fsm_state6 : STD_LOGIC;
|
277
|
+
attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none";
|
278
|
+
signal tmp_1_fu_796_p2 : STD_LOGIC_VECTOR (45 downto 0);
|
279
|
+
signal tmp_1_reg_2716 : STD_LOGIC_VECTOR (45 downto 0);
|
280
|
+
signal xa_2_fu_805_p2 : STD_LOGIC_VECTOR (49 downto 0);
|
281
|
+
signal ap_CS_fsm_state7 : STD_LOGIC;
|
282
|
+
attribute fsm_encoding of ap_CS_fsm_state7 : signal is "none";
|
283
|
+
signal xb_2_fu_814_p2 : STD_LOGIC_VECTOR (49 downto 0);
|
284
|
+
signal tmp_s_fu_824_p2 : STD_LOGIC_VECTOR (38 downto 0);
|
285
|
+
signal tmp_s_reg_2731 : STD_LOGIC_VECTOR (38 downto 0);
|
286
|
+
signal ap_CS_fsm_state8 : STD_LOGIC;
|
287
|
+
attribute fsm_encoding of ap_CS_fsm_state8 : signal is "none";
|
288
|
+
signal xa_1_fu_840_p2 : STD_LOGIC_VECTOR (49 downto 0);
|
289
|
+
signal xa_1_reg_2737 : STD_LOGIC_VECTOR (49 downto 0);
|
290
|
+
signal ap_CS_fsm_state9 : STD_LOGIC;
|
291
|
+
attribute fsm_encoding of ap_CS_fsm_state9 : signal is "none";
|
292
|
+
signal xa_1_cast_fu_846_p2 : STD_LOGIC_VECTOR (46 downto 0);
|
293
|
+
signal xa_1_cast_reg_2742 : STD_LOGIC_VECTOR (46 downto 0);
|
294
|
+
signal xb_1_fu_894_p2 : STD_LOGIC_VECTOR (49 downto 0);
|
295
|
+
signal xb_1_reg_2747 : STD_LOGIC_VECTOR (49 downto 0);
|
296
|
+
signal xb_1_cast_fu_900_p2 : STD_LOGIC_VECTOR (46 downto 0);
|
297
|
+
signal xb_1_cast_reg_2752 : STD_LOGIC_VECTOR (46 downto 0);
|
298
|
+
signal tqmf_addr_2_reg_2757 : STD_LOGIC_VECTOR (4 downto 0);
|
299
|
+
signal ap_CS_fsm_state10 : STD_LOGIC;
|
300
|
+
attribute fsm_encoding of ap_CS_fsm_state10 : signal is "none";
|
301
|
+
signal tqmf_ptr1_0_rec_fu_917_p2 : STD_LOGIC_VECTOR (5 downto 0);
|
302
|
+
signal tqmf_ptr1_0_rec_reg_2762 : STD_LOGIC_VECTOR (5 downto 0);
|
303
|
+
signal i_5_fu_940_p2 : STD_LOGIC_VECTOR (4 downto 0);
|
304
|
+
signal i_5_reg_2775 : STD_LOGIC_VECTOR (4 downto 0);
|
305
|
+
signal tmp_3_reg_2780 : STD_LOGIC_VECTOR (31 downto 0);
|
306
|
+
signal exitcond_fu_934_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
307
|
+
signal delay_bpl_load_reg_2785 : STD_LOGIC_VECTOR (31 downto 0);
|
308
|
+
signal ap_CS_fsm_state12 : STD_LOGIC;
|
309
|
+
attribute fsm_encoding of ap_CS_fsm_state12 : signal is "none";
|
310
|
+
signal delay_dltx_load_reg_2790 : STD_LOGIC_VECTOR (31 downto 0);
|
311
|
+
signal zl_fu_992_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
312
|
+
signal ap_CS_fsm_state13 : STD_LOGIC;
|
313
|
+
attribute fsm_encoding of ap_CS_fsm_state13 : signal is "none";
|
314
|
+
signal p_01_rec_i_fu_998_p2 : STD_LOGIC_VECTOR (2 downto 0);
|
315
|
+
signal p_01_rec_i_reg_2800 : STD_LOGIC_VECTOR (2 downto 0);
|
316
|
+
signal ap_CS_fsm_state14 : STD_LOGIC;
|
317
|
+
attribute fsm_encoding of ap_CS_fsm_state14 : signal is "none";
|
318
|
+
signal pl_1_fu_1034_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
319
|
+
signal pl_1_reg_2818 : STD_LOGIC_VECTOR (63 downto 0);
|
320
|
+
signal exitcond5_fu_1010_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
321
|
+
signal tmp_56_i_fu_1054_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
322
|
+
signal tmp_56_i_reg_2823 : STD_LOGIC_VECTOR (63 downto 0);
|
323
|
+
signal tmp_53_i_fu_1068_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
324
|
+
signal tmp_53_i_reg_2828 : STD_LOGIC_VECTOR (63 downto 0);
|
325
|
+
signal ap_CS_fsm_state15 : STD_LOGIC;
|
326
|
+
attribute fsm_encoding of ap_CS_fsm_state15 : signal is "none";
|
327
|
+
signal zl_1_fu_1074_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
328
|
+
signal ap_CS_fsm_state16 : STD_LOGIC;
|
329
|
+
attribute fsm_encoding of ap_CS_fsm_state16 : signal is "none";
|
330
|
+
signal tmp_58_i_reg_2838 : STD_LOGIC_VECTOR (31 downto 0);
|
331
|
+
signal ap_CS_fsm_state17 : STD_LOGIC;
|
332
|
+
attribute fsm_encoding of ap_CS_fsm_state17 : signal is "none";
|
333
|
+
signal tmp_50_i_fu_1099_p4 : STD_LOGIC_VECTOR (31 downto 0);
|
334
|
+
signal tmp_50_i_reg_2843 : STD_LOGIC_VECTOR (31 downto 0);
|
335
|
+
signal ap_CS_fsm_state18 : STD_LOGIC;
|
336
|
+
attribute fsm_encoding of ap_CS_fsm_state18 : signal is "none";
|
337
|
+
signal tmp_27_fu_1141_p3 : STD_LOGIC_VECTOR (0 downto 0);
|
338
|
+
signal tmp_27_reg_2848 : STD_LOGIC_VECTOR (0 downto 0);
|
339
|
+
signal n_assign_1_fu_1155_p3 : STD_LOGIC_VECTOR (31 downto 0);
|
340
|
+
signal n_assign_1_reg_2853 : STD_LOGIC_VECTOR (31 downto 0);
|
341
|
+
signal tmp_i1_cast_fu_1163_p1 : STD_LOGIC_VECTOR (46 downto 0);
|
342
|
+
signal tmp_i1_cast_reg_2858 : STD_LOGIC_VECTOR (46 downto 0);
|
343
|
+
signal tmp_35_i_fu_1167_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
344
|
+
signal tmp_35_i_reg_2864 : STD_LOGIC_VECTOR (0 downto 0);
|
345
|
+
signal ap_CS_fsm_state19 : STD_LOGIC;
|
346
|
+
attribute fsm_encoding of ap_CS_fsm_state19 : signal is "none";
|
347
|
+
signal mil_fu_1173_p2 : STD_LOGIC_VECTOR (4 downto 0);
|
348
|
+
signal mil_reg_2868 : STD_LOGIC_VECTOR (4 downto 0);
|
349
|
+
signal decis_levl_load_reg_2878 : STD_LOGIC_VECTOR (14 downto 0);
|
350
|
+
signal ap_CS_fsm_state20 : STD_LOGIC;
|
351
|
+
attribute fsm_encoding of ap_CS_fsm_state20 : signal is "none";
|
352
|
+
signal tmp_5_reg_2883 : STD_LOGIC_VECTOR (31 downto 0);
|
353
|
+
signal ap_CS_fsm_state21 : STD_LOGIC;
|
354
|
+
attribute fsm_encoding of ap_CS_fsm_state21 : signal is "none";
|
355
|
+
signal ap_CS_fsm_state22 : STD_LOGIC;
|
356
|
+
attribute fsm_encoding of ap_CS_fsm_state22 : signal is "none";
|
357
|
+
signal tmp_39_i_fu_1202_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
358
|
+
signal ap_CS_fsm_state23 : STD_LOGIC;
|
359
|
+
attribute fsm_encoding of ap_CS_fsm_state23 : signal is "none";
|
360
|
+
signal qq4_code4_table_load_reg_2911 : STD_LOGIC_VECTOR (15 downto 0);
|
361
|
+
signal ap_CS_fsm_state24 : STD_LOGIC;
|
362
|
+
attribute fsm_encoding of ap_CS_fsm_state24 : signal is "none";
|
363
|
+
signal tmp_30_fu_1323_p1 : STD_LOGIC_VECTOR (14 downto 0);
|
364
|
+
signal tmp_30_reg_2916 : STD_LOGIC_VECTOR (14 downto 0);
|
365
|
+
signal tmp_45_i_fu_1327_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
366
|
+
signal tmp_45_i_reg_2921 : STD_LOGIC_VECTOR (0 downto 0);
|
367
|
+
signal tmp_19_reg_2926 : STD_LOGIC_VECTOR (31 downto 0);
|
368
|
+
signal ap_CS_fsm_state25 : STD_LOGIC;
|
369
|
+
attribute fsm_encoding of ap_CS_fsm_state25 : signal is "none";
|
370
|
+
signal wd2_cast_reg_2932 : STD_LOGIC_VECTOR (3 downto 0);
|
371
|
+
signal tmp_38_reg_2942 : STD_LOGIC_VECTOR (0 downto 0);
|
372
|
+
signal ap_CS_fsm_state27 : STD_LOGIC;
|
373
|
+
attribute fsm_encoding of ap_CS_fsm_state27 : signal is "none";
|
374
|
+
signal grp_upzero_fu_653_ap_done : STD_LOGIC;
|
375
|
+
signal tmp_19_i_fu_1479_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
376
|
+
signal tmp_19_i_reg_2948 : STD_LOGIC_VECTOR (63 downto 0);
|
377
|
+
signal apl2_fu_1611_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
378
|
+
signal apl2_reg_2953 : STD_LOGIC_VECTOR (31 downto 0);
|
379
|
+
signal ap_CS_fsm_state28 : STD_LOGIC;
|
380
|
+
attribute fsm_encoding of ap_CS_fsm_state28 : signal is "none";
|
381
|
+
signal apl1_fu_1652_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
382
|
+
signal apl1_reg_2959 : STD_LOGIC_VECTOR (31 downto 0);
|
383
|
+
signal apl2_assign_fu_1680_p3 : STD_LOGIC_VECTOR (14 downto 0);
|
384
|
+
signal apl2_assign_reg_2965 : STD_LOGIC_VECTOR (14 downto 0);
|
385
|
+
signal ap_CS_fsm_state29 : STD_LOGIC;
|
386
|
+
attribute fsm_encoding of ap_CS_fsm_state29 : signal is "none";
|
387
|
+
signal delay_bph_load_reg_2971 : STD_LOGIC_VECTOR (31 downto 0);
|
388
|
+
signal delay_dhx_load_reg_2976 : STD_LOGIC_VECTOR (31 downto 0);
|
389
|
+
signal zl_2_fu_1798_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
390
|
+
signal ap_CS_fsm_state30 : STD_LOGIC;
|
391
|
+
attribute fsm_encoding of ap_CS_fsm_state30 : signal is "none";
|
392
|
+
signal p_01_rec_i1_fu_1804_p2 : STD_LOGIC_VECTOR (2 downto 0);
|
393
|
+
signal p_01_rec_i1_reg_2986 : STD_LOGIC_VECTOR (2 downto 0);
|
394
|
+
signal ap_CS_fsm_state31 : STD_LOGIC;
|
395
|
+
attribute fsm_encoding of ap_CS_fsm_state31 : signal is "none";
|
396
|
+
signal pl_4_fu_1840_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
397
|
+
signal pl_4_reg_3004 : STD_LOGIC_VECTOR (63 downto 0);
|
398
|
+
signal exitcond4_fu_1816_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
399
|
+
signal tmp_56_i1_fu_1860_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
400
|
+
signal tmp_56_i1_reg_3009 : STD_LOGIC_VECTOR (63 downto 0);
|
401
|
+
signal tmp_53_i1_fu_1874_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
402
|
+
signal tmp_53_i1_reg_3014 : STD_LOGIC_VECTOR (63 downto 0);
|
403
|
+
signal ap_CS_fsm_state32 : STD_LOGIC;
|
404
|
+
attribute fsm_encoding of ap_CS_fsm_state32 : signal is "none";
|
405
|
+
signal zl_3_fu_1880_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
406
|
+
signal ap_CS_fsm_state33 : STD_LOGIC;
|
407
|
+
attribute fsm_encoding of ap_CS_fsm_state33 : signal is "none";
|
408
|
+
signal tmp_50_i1_fu_1885_p4 : STD_LOGIC_VECTOR (31 downto 0);
|
409
|
+
signal tmp_50_i1_reg_3024 : STD_LOGIC_VECTOR (31 downto 0);
|
410
|
+
signal ap_CS_fsm_state34 : STD_LOGIC;
|
411
|
+
attribute fsm_encoding of ap_CS_fsm_state34 : signal is "none";
|
412
|
+
signal tmp_26_fu_1921_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
413
|
+
signal tmp_26_reg_3029 : STD_LOGIC_VECTOR (31 downto 0);
|
414
|
+
signal tmp_31_fu_1941_p2 : STD_LOGIC_VECTOR (42 downto 0);
|
415
|
+
signal tmp_31_reg_3039 : STD_LOGIC_VECTOR (42 downto 0);
|
416
|
+
signal tmp_54_fu_1962_p3 : STD_LOGIC_VECTOR (0 downto 0);
|
417
|
+
signal tmp_54_reg_3044 : STD_LOGIC_VECTOR (0 downto 0);
|
418
|
+
signal ap_CS_fsm_state35 : STD_LOGIC;
|
419
|
+
attribute fsm_encoding of ap_CS_fsm_state35 : signal is "none";
|
420
|
+
signal tmp_29_fu_1970_p3 : STD_LOGIC_VECTOR (1 downto 0);
|
421
|
+
signal tmp_47_cast1_fu_1992_p1 : STD_LOGIC_VECTOR (46 downto 0);
|
422
|
+
signal tmp_47_cast1_reg_3055 : STD_LOGIC_VECTOR (46 downto 0);
|
423
|
+
signal tmp_33_fu_2036_p3 : STD_LOGIC_VECTOR (1 downto 0);
|
424
|
+
signal ap_CS_fsm_state36 : STD_LOGIC;
|
425
|
+
attribute fsm_encoding of ap_CS_fsm_state36 : signal is "none";
|
426
|
+
signal tmp_36_reg_3068 : STD_LOGIC_VECTOR (31 downto 0);
|
427
|
+
signal ap_CS_fsm_state37 : STD_LOGIC;
|
428
|
+
attribute fsm_encoding of ap_CS_fsm_state37 : signal is "none";
|
429
|
+
signal tmp_58_fu_2183_p1 : STD_LOGIC_VECTOR (14 downto 0);
|
430
|
+
signal tmp_58_reg_3074 : STD_LOGIC_VECTOR (14 downto 0);
|
431
|
+
signal tmp_48_i_fu_2187_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
432
|
+
signal tmp_48_i_reg_3079 : STD_LOGIC_VECTOR (0 downto 0);
|
433
|
+
signal wd2_4_cast_reg_3084 : STD_LOGIC_VECTOR (3 downto 0);
|
434
|
+
signal ap_CS_fsm_state38 : STD_LOGIC;
|
435
|
+
attribute fsm_encoding of ap_CS_fsm_state38 : signal is "none";
|
436
|
+
signal tmp_59_reg_3094 : STD_LOGIC_VECTOR (0 downto 0);
|
437
|
+
signal ap_CS_fsm_state39 : STD_LOGIC;
|
438
|
+
attribute fsm_encoding of ap_CS_fsm_state39 : signal is "none";
|
439
|
+
signal tmp_19_i1_fu_2315_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
440
|
+
signal tmp_19_i1_reg_3100 : STD_LOGIC_VECTOR (63 downto 0);
|
441
|
+
signal apl2_1_fu_2447_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
442
|
+
signal apl2_1_reg_3105 : STD_LOGIC_VECTOR (31 downto 0);
|
443
|
+
signal ap_CS_fsm_state40 : STD_LOGIC;
|
444
|
+
attribute fsm_encoding of ap_CS_fsm_state40 : signal is "none";
|
445
|
+
signal apl1_4_fu_2488_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
446
|
+
signal apl1_4_reg_3111 : STD_LOGIC_VECTOR (31 downto 0);
|
447
|
+
signal apl2_assign_1_fu_2516_p3 : STD_LOGIC_VECTOR (14 downto 0);
|
448
|
+
signal apl2_assign_1_reg_3117 : STD_LOGIC_VECTOR (14 downto 0);
|
449
|
+
signal ap_CS_fsm_state41 : STD_LOGIC;
|
450
|
+
attribute fsm_encoding of ap_CS_fsm_state41 : signal is "none";
|
451
|
+
signal grp_upzero_fu_653_ap_start : STD_LOGIC;
|
452
|
+
signal grp_upzero_fu_653_ap_idle : STD_LOGIC;
|
453
|
+
signal grp_upzero_fu_653_ap_ready : STD_LOGIC;
|
454
|
+
signal grp_upzero_fu_653_dlt : STD_LOGIC_VECTOR (31 downto 0);
|
455
|
+
signal grp_upzero_fu_653_dlti_address0 : STD_LOGIC_VECTOR (2 downto 0);
|
456
|
+
signal grp_upzero_fu_653_dlti_ce0 : STD_LOGIC;
|
457
|
+
signal grp_upzero_fu_653_dlti_we0 : STD_LOGIC;
|
458
|
+
signal grp_upzero_fu_653_dlti_d0 : STD_LOGIC_VECTOR (31 downto 0);
|
459
|
+
signal grp_upzero_fu_653_dlti_q0 : STD_LOGIC_VECTOR (31 downto 0);
|
460
|
+
signal grp_upzero_fu_653_dlti_address1 : STD_LOGIC_VECTOR (2 downto 0);
|
461
|
+
signal grp_upzero_fu_653_dlti_ce1 : STD_LOGIC;
|
462
|
+
signal grp_upzero_fu_653_dlti_we1 : STD_LOGIC;
|
463
|
+
signal grp_upzero_fu_653_dlti_d1 : STD_LOGIC_VECTOR (31 downto 0);
|
464
|
+
signal grp_upzero_fu_653_dlti_q1 : STD_LOGIC_VECTOR (31 downto 0);
|
465
|
+
signal grp_upzero_fu_653_bli_address0 : STD_LOGIC_VECTOR (2 downto 0);
|
466
|
+
signal grp_upzero_fu_653_bli_ce0 : STD_LOGIC;
|
467
|
+
signal grp_upzero_fu_653_bli_we0 : STD_LOGIC;
|
468
|
+
signal grp_upzero_fu_653_bli_d0 : STD_LOGIC_VECTOR (31 downto 0);
|
469
|
+
signal grp_upzero_fu_653_bli_q0 : STD_LOGIC_VECTOR (31 downto 0);
|
470
|
+
signal tqmf_ptr_0_rec_reg_526 : STD_LOGIC_VECTOR (4 downto 0);
|
471
|
+
signal i_reg_537 : STD_LOGIC_VECTOR (3 downto 0);
|
472
|
+
signal xa1_reg_548 : STD_LOGIC_VECTOR (49 downto 0);
|
473
|
+
signal xb1_reg_558 : STD_LOGIC_VECTOR (49 downto 0);
|
474
|
+
signal tqmf_ptr_0_pn_rec_reg_568 : STD_LOGIC_VECTOR (5 downto 0);
|
475
|
+
signal ap_CS_fsm_state11 : STD_LOGIC;
|
476
|
+
attribute fsm_encoding of ap_CS_fsm_state11 : signal is "none";
|
477
|
+
signal i_1_reg_579 : STD_LOGIC_VECTOR (4 downto 0);
|
478
|
+
signal zl1_i_reg_590 : STD_LOGIC_VECTOR (63 downto 0);
|
479
|
+
signal dlt_pn_rec_i_reg_600 : STD_LOGIC_VECTOR (2 downto 0);
|
480
|
+
signal mil_i_reg_611 : STD_LOGIC_VECTOR (4 downto 0);
|
481
|
+
signal zl1_i1_reg_623 : STD_LOGIC_VECTOR (63 downto 0);
|
482
|
+
signal dlt_pn_rec_i1_reg_633 : STD_LOGIC_VECTOR (2 downto 0);
|
483
|
+
signal ih_assign_reg_644 : STD_LOGIC_VECTOR (1 downto 0);
|
484
|
+
signal tmp_32_fu_2030_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
485
|
+
signal ap_reg_grp_upzero_fu_653_ap_start : STD_LOGIC := '0';
|
486
|
+
signal ap_CS_fsm_state26 : STD_LOGIC;
|
487
|
+
attribute fsm_encoding of ap_CS_fsm_state26 : signal is "none";
|
488
|
+
signal tqmf_ptr_0_rec_cast_fu_740_p1 : STD_LOGIC_VECTOR (63 downto 0);
|
489
|
+
signal tqmf_ptr_0_sum1_cast_fu_764_p1 : STD_LOGIC_VECTOR (63 downto 0);
|
490
|
+
signal p_sum_cast_fu_912_p1 : STD_LOGIC_VECTOR (63 downto 0);
|
491
|
+
signal tqmf_ptr_0_sum_cast_fu_929_p1 : STD_LOGIC_VECTOR (63 downto 0);
|
492
|
+
signal p_01_rec_i_cast_fu_1004_p1 : STD_LOGIC_VECTOR (63 downto 0);
|
493
|
+
signal tmp_36_i_fu_1179_p1 : STD_LOGIC_VECTOR (63 downto 0);
|
494
|
+
signal tmp_41_i_fu_1206_p1 : STD_LOGIC_VECTOR (63 downto 0);
|
495
|
+
signal tmp_16_fu_1239_p1 : STD_LOGIC_VECTOR (63 downto 0);
|
496
|
+
signal tmp_i3_fu_1393_p1 : STD_LOGIC_VECTOR (63 downto 0);
|
497
|
+
signal p_01_rec_i1_cast_fu_1810_p1 : STD_LOGIC_VECTOR (63 downto 0);
|
498
|
+
signal tmp_i1_fu_2229_p1 : STD_LOGIC_VECTOR (63 downto 0);
|
499
|
+
signal tmp_25_fu_1768_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
500
|
+
signal apl1_3_cast_fu_1750_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
501
|
+
signal apl2_assign_cast_fu_1688_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
502
|
+
signal tmp_13_fu_1115_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
503
|
+
signal el_assign_fu_1126_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
504
|
+
signal tmp_34_i_cast_fu_1421_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
505
|
+
signal il_assign_cast_fu_1219_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
506
|
+
signal ap_CS_fsm_state42 : STD_LOGIC;
|
507
|
+
attribute fsm_encoding of ap_CS_fsm_state42 : signal is "none";
|
508
|
+
signal nbl_assign_2_cast_fu_1363_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
509
|
+
signal tmp_21_fu_1431_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
510
|
+
signal tmp_45_fu_2604_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
511
|
+
signal apl1_7_cast_fu_2586_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
512
|
+
signal apl2_assign_1_cast_fu_2524_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
513
|
+
signal tmp_58_i1_fu_1905_p4 : STD_LOGIC_VECTOR (31 downto 0);
|
514
|
+
signal n_assign_2_fu_1951_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
515
|
+
signal tmp_46_cast_cast_fu_1978_p3 : STD_LOGIC_VECTOR (31 downto 0);
|
516
|
+
signal tmp_51_cast_cast_fu_2043_p3 : STD_LOGIC_VECTOR (31 downto 0);
|
517
|
+
signal tmp_34_i1_cast_fu_2267_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
518
|
+
signal nbl_assign_3_cast_fu_2199_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
519
|
+
signal tmp_40_fu_2234_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
520
|
+
signal p_shl_fu_692_p3 : STD_LOGIC_VECTOR (35 downto 0);
|
521
|
+
signal p_shl1_fu_704_p3 : STD_LOGIC_VECTOR (33 downto 0);
|
522
|
+
signal p_shl_cast_fu_700_p1 : STD_LOGIC_VECTOR (36 downto 0);
|
523
|
+
signal p_shl1_cast_fu_712_p1 : STD_LOGIC_VECTOR (36 downto 0);
|
524
|
+
signal xa_fu_716_p2 : STD_LOGIC_VECTOR (36 downto 0);
|
525
|
+
signal xb_fu_730_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
526
|
+
signal xb_fu_730_p2 : STD_LOGIC_VECTOR (38 downto 0);
|
527
|
+
signal tqmf_ptr_0_sum1_fu_758_p2 : STD_LOGIC_VECTOR (4 downto 0);
|
528
|
+
signal tmp_9_fu_783_p0 : STD_LOGIC_VECTOR (14 downto 0);
|
529
|
+
signal tmp_9_fu_783_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
530
|
+
signal tmp_1_fu_796_p0 : STD_LOGIC_VECTOR (14 downto 0);
|
531
|
+
signal tmp_1_fu_796_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
532
|
+
signal tmp_25_cast_fu_802_p1 : STD_LOGIC_VECTOR (49 downto 0);
|
533
|
+
signal tmp_28_cast_fu_811_p1 : STD_LOGIC_VECTOR (49 downto 0);
|
534
|
+
signal tmp_s_fu_824_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
535
|
+
signal tmp_15_cast_fu_830_p1 : STD_LOGIC_VECTOR (49 downto 0);
|
536
|
+
signal tmp_15_fu_836_p1 : STD_LOGIC_VECTOR (46 downto 0);
|
537
|
+
signal tmp_fu_833_p1 : STD_LOGIC_VECTOR (46 downto 0);
|
538
|
+
signal p_shl2_fu_852_p3 : STD_LOGIC_VECTOR (35 downto 0);
|
539
|
+
signal p_shl3_fu_864_p3 : STD_LOGIC_VECTOR (33 downto 0);
|
540
|
+
signal p_shl2_cast_fu_860_p1 : STD_LOGIC_VECTOR (36 downto 0);
|
541
|
+
signal p_shl3_cast_fu_872_p1 : STD_LOGIC_VECTOR (36 downto 0);
|
542
|
+
signal tmp_8_fu_876_p2 : STD_LOGIC_VECTOR (36 downto 0);
|
543
|
+
signal tmp_22_cast_fu_882_p1 : STD_LOGIC_VECTOR (49 downto 0);
|
544
|
+
signal tmp_17_fu_890_p1 : STD_LOGIC_VECTOR (46 downto 0);
|
545
|
+
signal tmp_2_fu_886_p1 : STD_LOGIC_VECTOR (46 downto 0);
|
546
|
+
signal p_sum_fu_906_p2 : STD_LOGIC_VECTOR (5 downto 0);
|
547
|
+
signal tqmf_ptr_0_sum_fu_923_p2 : STD_LOGIC_VECTOR (5 downto 0);
|
548
|
+
signal tmp_4_fu_946_p2 : STD_LOGIC_VECTOR (49 downto 0);
|
549
|
+
signal tmp_10_fu_966_p2 : STD_LOGIC_VECTOR (46 downto 0);
|
550
|
+
signal zl_fu_992_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
551
|
+
signal zl_fu_992_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
552
|
+
signal tmp_20_fu_1020_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
553
|
+
signal pl_1_fu_1034_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
554
|
+
signal pl_1_fu_1034_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
555
|
+
signal tmp_23_fu_1040_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
556
|
+
signal tmp_56_i_fu_1054_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
557
|
+
signal tmp_56_i_fu_1054_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
558
|
+
signal tmp_53_i_fu_1068_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
559
|
+
signal tmp_53_i_fu_1068_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
560
|
+
signal pl_2_fu_1079_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
561
|
+
signal m_fu_1149_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
562
|
+
signal tmp_38_i_fu_1187_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
563
|
+
signal tmp_38_i_fu_1187_p1 : STD_LOGIC_VECTOR (14 downto 0);
|
564
|
+
signal tmp_38_i_fu_1187_p2 : STD_LOGIC_VECTOR (46 downto 0);
|
565
|
+
signal ril_2_fu_1212_p3 : STD_LOGIC_VECTOR (5 downto 0);
|
566
|
+
signal tmp_14_fu_1229_p4 : STD_LOGIC_VECTOR (3 downto 0);
|
567
|
+
signal p_shl_i_fu_1253_p3 : STD_LOGIC_VECTOR (38 downto 0);
|
568
|
+
signal tmp_i1_cast_29_fu_1249_p1 : STD_LOGIC_VECTOR (38 downto 0);
|
569
|
+
signal tmp_i2_fu_1261_p2 : STD_LOGIC_VECTOR (38 downto 0);
|
570
|
+
signal wl_code_table_load_c_fu_1277_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
571
|
+
signal tmp_42_i_fu_1267_p4 : STD_LOGIC_VECTOR (31 downto 0);
|
572
|
+
signal tmp_6_fu_1281_p4 : STD_LOGIC_VECTOR (30 downto 0);
|
573
|
+
signal tmp_7_fu_1291_p1 : STD_LOGIC_VECTOR (30 downto 0);
|
574
|
+
signal nbl_assign_1_fu_1295_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
575
|
+
signal tmp_28_fu_1307_p3 : STD_LOGIC_VECTOR (0 downto 0);
|
576
|
+
signal nbl_assign_1_cast_fu_1301_p2 : STD_LOGIC_VECTOR (30 downto 0);
|
577
|
+
signal p_i_fu_1315_p3 : STD_LOGIC_VECTOR (30 downto 0);
|
578
|
+
signal tmp_18_fu_1336_p0 : STD_LOGIC_VECTOR (15 downto 0);
|
579
|
+
signal tmp_18_fu_1336_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
580
|
+
signal tmp_18_fu_1336_p2 : STD_LOGIC_VECTOR (46 downto 0);
|
581
|
+
signal nbl_assign_2_fu_1357_p3 : STD_LOGIC_VECTOR (14 downto 0);
|
582
|
+
signal wd1_fu_1373_p4 : STD_LOGIC_VECTOR (4 downto 0);
|
583
|
+
signal tmp_33_i_fu_1398_p2 : STD_LOGIC_VECTOR (3 downto 0);
|
584
|
+
signal tmp_33_i_cast_cast_fu_1403_p1 : STD_LOGIC_VECTOR (11 downto 0);
|
585
|
+
signal wd3_fu_1407_p2 : STD_LOGIC_VECTOR (11 downto 0);
|
586
|
+
signal tmp_34_i_fu_1413_p3 : STD_LOGIC_VECTOR (14 downto 0);
|
587
|
+
signal tmp_15_i_fu_1461_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
588
|
+
signal tmp_15_i_fu_1461_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
589
|
+
signal tmp_i4_fu_1453_p1 : STD_LOGIC_VECTOR (63 downto 0);
|
590
|
+
signal tmp_15_i_fu_1461_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
591
|
+
signal tmp_19_i_fu_1479_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
592
|
+
signal tmp_19_i_fu_1479_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
593
|
+
signal wd2_fu_1501_p3 : STD_LOGIC_VECTOR (33 downto 0);
|
594
|
+
signal wd2_1_cast_fu_1509_p1 : STD_LOGIC_VECTOR (34 downto 0);
|
595
|
+
signal tmp_22_fu_1519_p4 : STD_LOGIC_VECTOR (26 downto 0);
|
596
|
+
signal p_shl_i1_fu_1544_p3 : STD_LOGIC_VECTOR (38 downto 0);
|
597
|
+
signal p_shl_i1_cast_fu_1552_p1 : STD_LOGIC_VECTOR (39 downto 0);
|
598
|
+
signal tmp_20_i_cast_fu_1540_p1 : STD_LOGIC_VECTOR (39 downto 0);
|
599
|
+
signal wd2_1_fu_1513_p2 : STD_LOGIC_VECTOR (34 downto 0);
|
600
|
+
signal tmp_24_fu_1529_p1 : STD_LOGIC_VECTOR (27 downto 0);
|
601
|
+
signal tmp_44_fu_1562_p4 : STD_LOGIC_VECTOR (27 downto 0);
|
602
|
+
signal tmp_47_fu_1572_p3 : STD_LOGIC_VECTOR (27 downto 0);
|
603
|
+
signal tmp_21_i_fu_1556_p2 : STD_LOGIC_VECTOR (39 downto 0);
|
604
|
+
signal tmp_42_fu_1533_p3 : STD_LOGIC_VECTOR (0 downto 0);
|
605
|
+
signal tmp_3_i_cast_fu_1579_p1 : STD_LOGIC_VECTOR (28 downto 0);
|
606
|
+
signal tmp_5_i_cast_cast_fu_1593_p3 : STD_LOGIC_VECTOR (28 downto 0);
|
607
|
+
signal tmp6_fu_1601_p2 : STD_LOGIC_VECTOR (28 downto 0);
|
608
|
+
signal tmp6_cast_fu_1607_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
609
|
+
signal tmp_4_i_fu_1583_p4 : STD_LOGIC_VECTOR (31 downto 0);
|
610
|
+
signal p_shl_i2_fu_1617_p3 : STD_LOGIC_VECTOR (39 downto 0);
|
611
|
+
signal p_shl_i2_cast_fu_1625_p1 : STD_LOGIC_VECTOR (40 downto 0);
|
612
|
+
signal tmp_i3_cast_fu_1497_p1 : STD_LOGIC_VECTOR (40 downto 0);
|
613
|
+
signal tmp_i5_fu_1629_p2 : STD_LOGIC_VECTOR (40 downto 0);
|
614
|
+
signal apl_v_i_cast_cast_fu_1645_p3 : STD_LOGIC_VECTOR (31 downto 0);
|
615
|
+
signal tmp_29_i_fu_1635_p4 : STD_LOGIC_VECTOR (31 downto 0);
|
616
|
+
signal tmp_23_i_fu_1658_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
617
|
+
signal p_i1_fu_1663_p3 : STD_LOGIC_VECTOR (31 downto 0);
|
618
|
+
signal tmp_24_i_fu_1674_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
619
|
+
signal tmp_50_fu_1670_p1 : STD_LOGIC_VECTOR (14 downto 0);
|
620
|
+
signal apl1_8_fu_1697_p2 : STD_LOGIC_VECTOR (14 downto 0);
|
621
|
+
signal apl1_8_cast_fu_1706_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
622
|
+
signal tmp_30_i_fu_1710_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
623
|
+
signal wd3_0_apl1_i_fu_1715_p3 : STD_LOGIC_VECTOR (31 downto 0);
|
624
|
+
signal apl1_8_cast1_fu_1702_p1 : STD_LOGIC_VECTOR (15 downto 0);
|
625
|
+
signal apl1_2_fu_1726_p2 : STD_LOGIC_VECTOR (15 downto 0);
|
626
|
+
signal apl1_2_cast_fu_1732_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
627
|
+
signal tmp_31_i_fu_1736_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
628
|
+
signal tmp_51_fu_1722_p1 : STD_LOGIC_VECTOR (15 downto 0);
|
629
|
+
signal apl1_3_fu_1742_p3 : STD_LOGIC_VECTOR (15 downto 0);
|
630
|
+
signal zl_2_fu_1798_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
631
|
+
signal zl_2_fu_1798_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
632
|
+
signal tmp_52_fu_1826_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
633
|
+
signal pl_4_fu_1840_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
634
|
+
signal pl_4_fu_1840_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
635
|
+
signal tmp_53_fu_1846_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
636
|
+
signal tmp_56_i1_fu_1860_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
637
|
+
signal tmp_56_i1_fu_1860_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
638
|
+
signal tmp_53_i1_fu_1874_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
639
|
+
signal tmp_53_i1_fu_1874_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
640
|
+
signal pl_5_fu_1901_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
641
|
+
signal tmp_31_fu_1941_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
642
|
+
signal tmp_11_fu_1995_p4 : STD_LOGIC_VECTOR (30 downto 0);
|
643
|
+
signal tmp_55_fu_2008_p3 : STD_LOGIC_VECTOR (0 downto 0);
|
644
|
+
signal m_1_fu_2016_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
645
|
+
signal n_assign_3_fu_2022_p3 : STD_LOGIC_VECTOR (31 downto 0);
|
646
|
+
signal decis_fu_2004_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
647
|
+
signal tmp_34_fu_2056_p6 : STD_LOGIC_VECTOR (31 downto 0);
|
648
|
+
signal tmp_35_fu_2074_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
649
|
+
signal tmp_35_fu_2074_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
650
|
+
signal tmp_35_fu_2074_p2 : STD_LOGIC_VECTOR (46 downto 0);
|
651
|
+
signal p_shl_i3_fu_2103_p3 : STD_LOGIC_VECTOR (38 downto 0);
|
652
|
+
signal tmp_i7_cast_fu_2099_p1 : STD_LOGIC_VECTOR (38 downto 0);
|
653
|
+
signal tmp_i8_fu_2111_p2 : STD_LOGIC_VECTOR (38 downto 0);
|
654
|
+
signal tmp_37_fu_2127_p6 : STD_LOGIC_VECTOR (31 downto 0);
|
655
|
+
signal wd_fu_2117_p4 : STD_LOGIC_VECTOR (31 downto 0);
|
656
|
+
signal tmp_39_fu_2141_p4 : STD_LOGIC_VECTOR (30 downto 0);
|
657
|
+
signal tmp_56_fu_2151_p1 : STD_LOGIC_VECTOR (30 downto 0);
|
658
|
+
signal nbh_assign_1_fu_2155_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
659
|
+
signal tmp_57_fu_2167_p3 : STD_LOGIC_VECTOR (0 downto 0);
|
660
|
+
signal nbh_assign_1_cast_fu_2161_p2 : STD_LOGIC_VECTOR (30 downto 0);
|
661
|
+
signal p_i2_fu_2175_p3 : STD_LOGIC_VECTOR (30 downto 0);
|
662
|
+
signal nbl_assign_3_fu_2193_p3 : STD_LOGIC_VECTOR (14 downto 0);
|
663
|
+
signal wd1_1_fu_2209_p4 : STD_LOGIC_VECTOR (4 downto 0);
|
664
|
+
signal tmp_33_i1_fu_2244_p2 : STD_LOGIC_VECTOR (3 downto 0);
|
665
|
+
signal tmp_33_i1_cast_cast_fu_2249_p1 : STD_LOGIC_VECTOR (11 downto 0);
|
666
|
+
signal wd3_2_fu_2253_p2 : STD_LOGIC_VECTOR (11 downto 0);
|
667
|
+
signal tmp_34_i1_fu_2259_p3 : STD_LOGIC_VECTOR (14 downto 0);
|
668
|
+
signal tmp_15_i1_fu_2297_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
669
|
+
signal tmp_15_i1_fu_2297_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
670
|
+
signal tmp_i10_fu_2289_p1 : STD_LOGIC_VECTOR (63 downto 0);
|
671
|
+
signal tmp_15_i1_fu_2297_p2 : STD_LOGIC_VECTOR (63 downto 0);
|
672
|
+
signal tmp_19_i1_fu_2315_p0 : STD_LOGIC_VECTOR (31 downto 0);
|
673
|
+
signal tmp_19_i1_fu_2315_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
674
|
+
signal wd2_2_fu_2337_p3 : STD_LOGIC_VECTOR (33 downto 0);
|
675
|
+
signal wd2_5_cast_fu_2345_p1 : STD_LOGIC_VECTOR (34 downto 0);
|
676
|
+
signal tmp_41_fu_2355_p4 : STD_LOGIC_VECTOR (26 downto 0);
|
677
|
+
signal p_shl_i4_fu_2380_p3 : STD_LOGIC_VECTOR (38 downto 0);
|
678
|
+
signal p_shl_i4_cast_fu_2388_p1 : STD_LOGIC_VECTOR (39 downto 0);
|
679
|
+
signal tmp_20_i1_cast9_fu_2376_p1 : STD_LOGIC_VECTOR (39 downto 0);
|
680
|
+
signal wd2_3_fu_2349_p2 : STD_LOGIC_VECTOR (34 downto 0);
|
681
|
+
signal tmp_43_fu_2365_p1 : STD_LOGIC_VECTOR (27 downto 0);
|
682
|
+
signal tmp_61_fu_2398_p4 : STD_LOGIC_VECTOR (27 downto 0);
|
683
|
+
signal tmp_62_fu_2408_p3 : STD_LOGIC_VECTOR (27 downto 0);
|
684
|
+
signal tmp_21_i1_fu_2392_p2 : STD_LOGIC_VECTOR (39 downto 0);
|
685
|
+
signal tmp_60_fu_2369_p3 : STD_LOGIC_VECTOR (0 downto 0);
|
686
|
+
signal tmp_3_i1_cast_fu_2415_p1 : STD_LOGIC_VECTOR (28 downto 0);
|
687
|
+
signal tmp_5_i1_cast_cast_fu_2429_p3 : STD_LOGIC_VECTOR (28 downto 0);
|
688
|
+
signal tmp7_fu_2437_p2 : STD_LOGIC_VECTOR (28 downto 0);
|
689
|
+
signal tmp7_cast_fu_2443_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
690
|
+
signal tmp_4_i1_fu_2419_p4 : STD_LOGIC_VECTOR (31 downto 0);
|
691
|
+
signal p_shl_i5_fu_2453_p3 : STD_LOGIC_VECTOR (39 downto 0);
|
692
|
+
signal p_shl_i5_cast_fu_2461_p1 : STD_LOGIC_VECTOR (40 downto 0);
|
693
|
+
signal tmp_i10_cast_fu_2333_p1 : STD_LOGIC_VECTOR (40 downto 0);
|
694
|
+
signal tmp_i11_fu_2465_p2 : STD_LOGIC_VECTOR (40 downto 0);
|
695
|
+
signal apl_v_i1_cast_cast_fu_2481_p3 : STD_LOGIC_VECTOR (31 downto 0);
|
696
|
+
signal tmp_29_i1_fu_2471_p4 : STD_LOGIC_VECTOR (31 downto 0);
|
697
|
+
signal tmp_23_i1_fu_2494_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
698
|
+
signal p_i3_fu_2499_p3 : STD_LOGIC_VECTOR (31 downto 0);
|
699
|
+
signal tmp_24_i1_fu_2510_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
700
|
+
signal tmp_63_fu_2506_p1 : STD_LOGIC_VECTOR (14 downto 0);
|
701
|
+
signal apl1_9_fu_2533_p2 : STD_LOGIC_VECTOR (14 downto 0);
|
702
|
+
signal apl1_9_cast_fu_2542_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
703
|
+
signal tmp_30_i1_fu_2546_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
704
|
+
signal wd3_0_apl1_i1_fu_2551_p3 : STD_LOGIC_VECTOR (31 downto 0);
|
705
|
+
signal apl1_9_cast8_fu_2538_p1 : STD_LOGIC_VECTOR (15 downto 0);
|
706
|
+
signal apl1_6_fu_2562_p2 : STD_LOGIC_VECTOR (15 downto 0);
|
707
|
+
signal apl1_6_cast_fu_2568_p1 : STD_LOGIC_VECTOR (31 downto 0);
|
708
|
+
signal tmp_31_i1_fu_2572_p2 : STD_LOGIC_VECTOR (0 downto 0);
|
709
|
+
signal tmp_64_fu_2558_p1 : STD_LOGIC_VECTOR (15 downto 0);
|
710
|
+
signal apl1_7_fu_2578_p3 : STD_LOGIC_VECTOR (15 downto 0);
|
711
|
+
signal tmp_65_fu_2636_p2 : STD_LOGIC_VECTOR (31 downto 0);
|
712
|
+
signal ap_NS_fsm : STD_LOGIC_VECTOR (41 downto 0);
|
713
|
+
signal tmp_38_i_fu_1187_p10 : STD_LOGIC_VECTOR (46 downto 0);
|
714
|
+
|
715
|
+
component upzero IS
|
716
|
+
port (
|
717
|
+
ap_clk : IN STD_LOGIC;
|
718
|
+
ap_rst : IN STD_LOGIC;
|
719
|
+
ap_start : IN STD_LOGIC;
|
720
|
+
ap_done : OUT STD_LOGIC;
|
721
|
+
ap_idle : OUT STD_LOGIC;
|
722
|
+
ap_ready : OUT STD_LOGIC;
|
723
|
+
dlt : IN STD_LOGIC_VECTOR (31 downto 0);
|
724
|
+
dlti_address0 : OUT STD_LOGIC_VECTOR (2 downto 0);
|
725
|
+
dlti_ce0 : OUT STD_LOGIC;
|
726
|
+
dlti_we0 : OUT STD_LOGIC;
|
727
|
+
dlti_d0 : OUT STD_LOGIC_VECTOR (31 downto 0);
|
728
|
+
dlti_q0 : IN STD_LOGIC_VECTOR (31 downto 0);
|
729
|
+
dlti_address1 : OUT STD_LOGIC_VECTOR (2 downto 0);
|
730
|
+
dlti_ce1 : OUT STD_LOGIC;
|
731
|
+
dlti_we1 : OUT STD_LOGIC;
|
732
|
+
dlti_d1 : OUT STD_LOGIC_VECTOR (31 downto 0);
|
733
|
+
dlti_q1 : IN STD_LOGIC_VECTOR (31 downto 0);
|
734
|
+
bli_address0 : OUT STD_LOGIC_VECTOR (2 downto 0);
|
735
|
+
bli_ce0 : OUT STD_LOGIC;
|
736
|
+
bli_we0 : OUT STD_LOGIC;
|
737
|
+
bli_d0 : OUT STD_LOGIC_VECTOR (31 downto 0);
|
738
|
+
bli_q0 : IN STD_LOGIC_VECTOR (31 downto 0) );
|
739
|
+
end component;
|
740
|
+
|
741
|
+
|
742
|
+
component encode_mux_42_32_fYi IS
|
743
|
+
generic (
|
744
|
+
ID : INTEGER;
|
745
|
+
NUM_STAGE : INTEGER;
|
746
|
+
din1_WIDTH : INTEGER;
|
747
|
+
din2_WIDTH : INTEGER;
|
748
|
+
din3_WIDTH : INTEGER;
|
749
|
+
din4_WIDTH : INTEGER;
|
750
|
+
din5_WIDTH : INTEGER;
|
751
|
+
dout_WIDTH : INTEGER );
|
752
|
+
port (
|
753
|
+
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
|
754
|
+
din2 : IN STD_LOGIC_VECTOR (31 downto 0);
|
755
|
+
din3 : IN STD_LOGIC_VECTOR (31 downto 0);
|
756
|
+
din4 : IN STD_LOGIC_VECTOR (31 downto 0);
|
757
|
+
din5 : IN STD_LOGIC_VECTOR (1 downto 0);
|
758
|
+
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
|
759
|
+
end component;
|
760
|
+
|
761
|
+
|
762
|
+
component encode_tqmf IS
|
763
|
+
generic (
|
764
|
+
DataWidth : INTEGER;
|
765
|
+
AddressRange : INTEGER;
|
766
|
+
AddressWidth : INTEGER );
|
767
|
+
port (
|
768
|
+
clk : IN STD_LOGIC;
|
769
|
+
reset : IN STD_LOGIC;
|
770
|
+
address0 : IN STD_LOGIC_VECTOR (4 downto 0);
|
771
|
+
ce0 : IN STD_LOGIC;
|
772
|
+
we0 : IN STD_LOGIC;
|
773
|
+
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
|
774
|
+
q0 : OUT STD_LOGIC_VECTOR (31 downto 0);
|
775
|
+
address1 : IN STD_LOGIC_VECTOR (4 downto 0);
|
776
|
+
ce1 : IN STD_LOGIC;
|
777
|
+
we1 : IN STD_LOGIC;
|
778
|
+
d1 : IN STD_LOGIC_VECTOR (31 downto 0) );
|
779
|
+
end component;
|
780
|
+
|
781
|
+
|
782
|
+
component encode_h IS
|
783
|
+
generic (
|
784
|
+
DataWidth : INTEGER;
|
785
|
+
AddressRange : INTEGER;
|
786
|
+
AddressWidth : INTEGER );
|
787
|
+
port (
|
788
|
+
clk : IN STD_LOGIC;
|
789
|
+
reset : IN STD_LOGIC;
|
790
|
+
address0 : IN STD_LOGIC_VECTOR (4 downto 0);
|
791
|
+
ce0 : IN STD_LOGIC;
|
792
|
+
q0 : OUT STD_LOGIC_VECTOR (14 downto 0);
|
793
|
+
address1 : IN STD_LOGIC_VECTOR (4 downto 0);
|
794
|
+
ce1 : IN STD_LOGIC;
|
795
|
+
q1 : OUT STD_LOGIC_VECTOR (14 downto 0) );
|
796
|
+
end component;
|
797
|
+
|
798
|
+
|
799
|
+
component encode_delay_bpl IS
|
800
|
+
generic (
|
801
|
+
DataWidth : INTEGER;
|
802
|
+
AddressRange : INTEGER;
|
803
|
+
AddressWidth : INTEGER );
|
804
|
+
port (
|
805
|
+
clk : IN STD_LOGIC;
|
806
|
+
reset : IN STD_LOGIC;
|
807
|
+
address0 : IN STD_LOGIC_VECTOR (2 downto 0);
|
808
|
+
ce0 : IN STD_LOGIC;
|
809
|
+
we0 : IN STD_LOGIC;
|
810
|
+
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
|
811
|
+
q0 : OUT STD_LOGIC_VECTOR (31 downto 0) );
|
812
|
+
end component;
|
813
|
+
|
814
|
+
|
815
|
+
component encode_delay_dltx IS
|
816
|
+
generic (
|
817
|
+
DataWidth : INTEGER;
|
818
|
+
AddressRange : INTEGER;
|
819
|
+
AddressWidth : INTEGER );
|
820
|
+
port (
|
821
|
+
clk : IN STD_LOGIC;
|
822
|
+
reset : IN STD_LOGIC;
|
823
|
+
address0 : IN STD_LOGIC_VECTOR (2 downto 0);
|
824
|
+
ce0 : IN STD_LOGIC;
|
825
|
+
we0 : IN STD_LOGIC;
|
826
|
+
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
|
827
|
+
q0 : OUT STD_LOGIC_VECTOR (31 downto 0);
|
828
|
+
address1 : IN STD_LOGIC_VECTOR (2 downto 0);
|
829
|
+
ce1 : IN STD_LOGIC;
|
830
|
+
we1 : IN STD_LOGIC;
|
831
|
+
d1 : IN STD_LOGIC_VECTOR (31 downto 0);
|
832
|
+
q1 : OUT STD_LOGIC_VECTOR (31 downto 0) );
|
833
|
+
end component;
|
834
|
+
|
835
|
+
|
836
|
+
component encode_decis_levl IS
|
837
|
+
generic (
|
838
|
+
DataWidth : INTEGER;
|
839
|
+
AddressRange : INTEGER;
|
840
|
+
AddressWidth : INTEGER );
|
841
|
+
port (
|
842
|
+
clk : IN STD_LOGIC;
|
843
|
+
reset : IN STD_LOGIC;
|
844
|
+
address0 : IN STD_LOGIC_VECTOR (4 downto 0);
|
845
|
+
ce0 : IN STD_LOGIC;
|
846
|
+
q0 : OUT STD_LOGIC_VECTOR (14 downto 0) );
|
847
|
+
end component;
|
848
|
+
|
849
|
+
|
850
|
+
component encode_quant26bt_bkb IS
|
851
|
+
generic (
|
852
|
+
DataWidth : INTEGER;
|
853
|
+
AddressRange : INTEGER;
|
854
|
+
AddressWidth : INTEGER );
|
855
|
+
port (
|
856
|
+
clk : IN STD_LOGIC;
|
857
|
+
reset : IN STD_LOGIC;
|
858
|
+
address0 : IN STD_LOGIC_VECTOR (4 downto 0);
|
859
|
+
ce0 : IN STD_LOGIC;
|
860
|
+
q0 : OUT STD_LOGIC_VECTOR (5 downto 0) );
|
861
|
+
end component;
|
862
|
+
|
863
|
+
|
864
|
+
component encode_quant26bt_cud IS
|
865
|
+
generic (
|
866
|
+
DataWidth : INTEGER;
|
867
|
+
AddressRange : INTEGER;
|
868
|
+
AddressWidth : INTEGER );
|
869
|
+
port (
|
870
|
+
clk : IN STD_LOGIC;
|
871
|
+
reset : IN STD_LOGIC;
|
872
|
+
address0 : IN STD_LOGIC_VECTOR (4 downto 0);
|
873
|
+
ce0 : IN STD_LOGIC;
|
874
|
+
q0 : OUT STD_LOGIC_VECTOR (5 downto 0) );
|
875
|
+
end component;
|
876
|
+
|
877
|
+
|
878
|
+
component encode_qq4_code4_dEe IS
|
879
|
+
generic (
|
880
|
+
DataWidth : INTEGER;
|
881
|
+
AddressRange : INTEGER;
|
882
|
+
AddressWidth : INTEGER );
|
883
|
+
port (
|
884
|
+
clk : IN STD_LOGIC;
|
885
|
+
reset : IN STD_LOGIC;
|
886
|
+
address0 : IN STD_LOGIC_VECTOR (3 downto 0);
|
887
|
+
ce0 : IN STD_LOGIC;
|
888
|
+
q0 : OUT STD_LOGIC_VECTOR (15 downto 0) );
|
889
|
+
end component;
|
890
|
+
|
891
|
+
|
892
|
+
component encode_wl_code_taeOg IS
|
893
|
+
generic (
|
894
|
+
DataWidth : INTEGER;
|
895
|
+
AddressRange : INTEGER;
|
896
|
+
AddressWidth : INTEGER );
|
897
|
+
port (
|
898
|
+
clk : IN STD_LOGIC;
|
899
|
+
reset : IN STD_LOGIC;
|
900
|
+
address0 : IN STD_LOGIC_VECTOR (3 downto 0);
|
901
|
+
ce0 : IN STD_LOGIC;
|
902
|
+
q0 : OUT STD_LOGIC_VECTOR (12 downto 0) );
|
903
|
+
end component;
|
904
|
+
|
905
|
+
|
906
|
+
component encode_ilb_table IS
|
907
|
+
generic (
|
908
|
+
DataWidth : INTEGER;
|
909
|
+
AddressRange : INTEGER;
|
910
|
+
AddressWidth : INTEGER );
|
911
|
+
port (
|
912
|
+
clk : IN STD_LOGIC;
|
913
|
+
reset : IN STD_LOGIC;
|
914
|
+
address0 : IN STD_LOGIC_VECTOR (4 downto 0);
|
915
|
+
ce0 : IN STD_LOGIC;
|
916
|
+
q0 : OUT STD_LOGIC_VECTOR (11 downto 0) );
|
917
|
+
end component;
|
918
|
+
|
919
|
+
|
920
|
+
|
921
|
+
begin
|
922
|
+
tqmf_U : component encode_tqmf
|
923
|
+
generic map (
|
924
|
+
DataWidth => 32,
|
925
|
+
AddressRange => 24,
|
926
|
+
AddressWidth => 5)
|
927
|
+
port map (
|
928
|
+
clk => ap_clk,
|
929
|
+
reset => ap_rst,
|
930
|
+
address0 => tqmf_address0,
|
931
|
+
ce0 => tqmf_ce0,
|
932
|
+
we0 => tqmf_we0,
|
933
|
+
d0 => xin1,
|
934
|
+
q0 => tqmf_q0,
|
935
|
+
address1 => tqmf_address1,
|
936
|
+
ce1 => tqmf_ce1,
|
937
|
+
we1 => tqmf_we1,
|
938
|
+
d1 => tqmf_d1);
|
939
|
+
|
940
|
+
h_U : component encode_h
|
941
|
+
generic map (
|
942
|
+
DataWidth => 15,
|
943
|
+
AddressRange => 24,
|
944
|
+
AddressWidth => 5)
|
945
|
+
port map (
|
946
|
+
clk => ap_clk,
|
947
|
+
reset => ap_rst,
|
948
|
+
address0 => h_address0,
|
949
|
+
ce0 => h_ce0,
|
950
|
+
q0 => h_q0,
|
951
|
+
address1 => h_address1,
|
952
|
+
ce1 => h_ce1,
|
953
|
+
q1 => h_q1);
|
954
|
+
|
955
|
+
delay_bpl_U : component encode_delay_bpl
|
956
|
+
generic map (
|
957
|
+
DataWidth => 32,
|
958
|
+
AddressRange => 6,
|
959
|
+
AddressWidth => 3)
|
960
|
+
port map (
|
961
|
+
clk => ap_clk,
|
962
|
+
reset => ap_rst,
|
963
|
+
address0 => delay_bpl_address0,
|
964
|
+
ce0 => delay_bpl_ce0,
|
965
|
+
we0 => delay_bpl_we0,
|
966
|
+
d0 => grp_upzero_fu_653_bli_d0,
|
967
|
+
q0 => delay_bpl_q0);
|
968
|
+
|
969
|
+
delay_dltx_U : component encode_delay_dltx
|
970
|
+
generic map (
|
971
|
+
DataWidth => 32,
|
972
|
+
AddressRange => 6,
|
973
|
+
AddressWidth => 3)
|
974
|
+
port map (
|
975
|
+
clk => ap_clk,
|
976
|
+
reset => ap_rst,
|
977
|
+
address0 => delay_dltx_address0,
|
978
|
+
ce0 => delay_dltx_ce0,
|
979
|
+
we0 => delay_dltx_we0,
|
980
|
+
d0 => grp_upzero_fu_653_dlti_d0,
|
981
|
+
q0 => delay_dltx_q0,
|
982
|
+
address1 => grp_upzero_fu_653_dlti_address1,
|
983
|
+
ce1 => delay_dltx_ce1,
|
984
|
+
we1 => delay_dltx_we1,
|
985
|
+
d1 => grp_upzero_fu_653_dlti_d1,
|
986
|
+
q1 => delay_dltx_q1);
|
987
|
+
|
988
|
+
decis_levl_U : component encode_decis_levl
|
989
|
+
generic map (
|
990
|
+
DataWidth => 15,
|
991
|
+
AddressRange => 30,
|
992
|
+
AddressWidth => 5)
|
993
|
+
port map (
|
994
|
+
clk => ap_clk,
|
995
|
+
reset => ap_rst,
|
996
|
+
address0 => decis_levl_address0,
|
997
|
+
ce0 => decis_levl_ce0,
|
998
|
+
q0 => decis_levl_q0);
|
999
|
+
|
1000
|
+
quant26bt_pos_U : component encode_quant26bt_bkb
|
1001
|
+
generic map (
|
1002
|
+
DataWidth => 6,
|
1003
|
+
AddressRange => 31,
|
1004
|
+
AddressWidth => 5)
|
1005
|
+
port map (
|
1006
|
+
clk => ap_clk,
|
1007
|
+
reset => ap_rst,
|
1008
|
+
address0 => quant26bt_pos_address0,
|
1009
|
+
ce0 => quant26bt_pos_ce0,
|
1010
|
+
q0 => quant26bt_pos_q0);
|
1011
|
+
|
1012
|
+
quant26bt_neg_U : component encode_quant26bt_cud
|
1013
|
+
generic map (
|
1014
|
+
DataWidth => 6,
|
1015
|
+
AddressRange => 31,
|
1016
|
+
AddressWidth => 5)
|
1017
|
+
port map (
|
1018
|
+
clk => ap_clk,
|
1019
|
+
reset => ap_rst,
|
1020
|
+
address0 => quant26bt_neg_address0,
|
1021
|
+
ce0 => quant26bt_neg_ce0,
|
1022
|
+
q0 => quant26bt_neg_q0);
|
1023
|
+
|
1024
|
+
qq4_code4_table_U : component encode_qq4_code4_dEe
|
1025
|
+
generic map (
|
1026
|
+
DataWidth => 16,
|
1027
|
+
AddressRange => 16,
|
1028
|
+
AddressWidth => 4)
|
1029
|
+
port map (
|
1030
|
+
clk => ap_clk,
|
1031
|
+
reset => ap_rst,
|
1032
|
+
address0 => qq4_code4_table_address0,
|
1033
|
+
ce0 => qq4_code4_table_ce0,
|
1034
|
+
q0 => qq4_code4_table_q0);
|
1035
|
+
|
1036
|
+
wl_code_table_U : component encode_wl_code_taeOg
|
1037
|
+
generic map (
|
1038
|
+
DataWidth => 13,
|
1039
|
+
AddressRange => 16,
|
1040
|
+
AddressWidth => 4)
|
1041
|
+
port map (
|
1042
|
+
clk => ap_clk,
|
1043
|
+
reset => ap_rst,
|
1044
|
+
address0 => wl_code_table_address0,
|
1045
|
+
ce0 => wl_code_table_ce0,
|
1046
|
+
q0 => wl_code_table_q0);
|
1047
|
+
|
1048
|
+
ilb_table_U : component encode_ilb_table
|
1049
|
+
generic map (
|
1050
|
+
DataWidth => 12,
|
1051
|
+
AddressRange => 32,
|
1052
|
+
AddressWidth => 5)
|
1053
|
+
port map (
|
1054
|
+
clk => ap_clk,
|
1055
|
+
reset => ap_rst,
|
1056
|
+
address0 => ilb_table_address0,
|
1057
|
+
ce0 => ilb_table_ce0,
|
1058
|
+
q0 => ilb_table_q0);
|
1059
|
+
|
1060
|
+
delay_bph_U : component encode_delay_bpl
|
1061
|
+
generic map (
|
1062
|
+
DataWidth => 32,
|
1063
|
+
AddressRange => 6,
|
1064
|
+
AddressWidth => 3)
|
1065
|
+
port map (
|
1066
|
+
clk => ap_clk,
|
1067
|
+
reset => ap_rst,
|
1068
|
+
address0 => delay_bph_address0,
|
1069
|
+
ce0 => delay_bph_ce0,
|
1070
|
+
we0 => delay_bph_we0,
|
1071
|
+
d0 => grp_upzero_fu_653_bli_d0,
|
1072
|
+
q0 => delay_bph_q0);
|
1073
|
+
|
1074
|
+
delay_dhx_U : component encode_delay_dltx
|
1075
|
+
generic map (
|
1076
|
+
DataWidth => 32,
|
1077
|
+
AddressRange => 6,
|
1078
|
+
AddressWidth => 3)
|
1079
|
+
port map (
|
1080
|
+
clk => ap_clk,
|
1081
|
+
reset => ap_rst,
|
1082
|
+
address0 => delay_dhx_address0,
|
1083
|
+
ce0 => delay_dhx_ce0,
|
1084
|
+
we0 => delay_dhx_we0,
|
1085
|
+
d0 => grp_upzero_fu_653_dlti_d0,
|
1086
|
+
q0 => delay_dhx_q0,
|
1087
|
+
address1 => grp_upzero_fu_653_dlti_address1,
|
1088
|
+
ce1 => delay_dhx_ce1,
|
1089
|
+
we1 => delay_dhx_we1,
|
1090
|
+
d1 => grp_upzero_fu_653_dlti_d1,
|
1091
|
+
q1 => delay_dhx_q1);
|
1092
|
+
|
1093
|
+
grp_upzero_fu_653 : component upzero
|
1094
|
+
port map (
|
1095
|
+
ap_clk => ap_clk,
|
1096
|
+
ap_rst => ap_rst,
|
1097
|
+
ap_start => grp_upzero_fu_653_ap_start,
|
1098
|
+
ap_done => grp_upzero_fu_653_ap_done,
|
1099
|
+
ap_idle => grp_upzero_fu_653_ap_idle,
|
1100
|
+
ap_ready => grp_upzero_fu_653_ap_ready,
|
1101
|
+
dlt => grp_upzero_fu_653_dlt,
|
1102
|
+
dlti_address0 => grp_upzero_fu_653_dlti_address0,
|
1103
|
+
dlti_ce0 => grp_upzero_fu_653_dlti_ce0,
|
1104
|
+
dlti_we0 => grp_upzero_fu_653_dlti_we0,
|
1105
|
+
dlti_d0 => grp_upzero_fu_653_dlti_d0,
|
1106
|
+
dlti_q0 => grp_upzero_fu_653_dlti_q0,
|
1107
|
+
dlti_address1 => grp_upzero_fu_653_dlti_address1,
|
1108
|
+
dlti_ce1 => grp_upzero_fu_653_dlti_ce1,
|
1109
|
+
dlti_we1 => grp_upzero_fu_653_dlti_we1,
|
1110
|
+
dlti_d1 => grp_upzero_fu_653_dlti_d1,
|
1111
|
+
dlti_q1 => grp_upzero_fu_653_dlti_q1,
|
1112
|
+
bli_address0 => grp_upzero_fu_653_bli_address0,
|
1113
|
+
bli_ce0 => grp_upzero_fu_653_bli_ce0,
|
1114
|
+
bli_we0 => grp_upzero_fu_653_bli_we0,
|
1115
|
+
bli_d0 => grp_upzero_fu_653_bli_d0,
|
1116
|
+
bli_q0 => grp_upzero_fu_653_bli_q0);
|
1117
|
+
|
1118
|
+
encode_mux_42_32_fYi_U4 : component encode_mux_42_32_fYi
|
1119
|
+
generic map (
|
1120
|
+
ID => 1,
|
1121
|
+
NUM_STAGE => 1,
|
1122
|
+
din1_WIDTH => 32,
|
1123
|
+
din2_WIDTH => 32,
|
1124
|
+
din3_WIDTH => 32,
|
1125
|
+
din4_WIDTH => 32,
|
1126
|
+
din5_WIDTH => 2,
|
1127
|
+
dout_WIDTH => 32)
|
1128
|
+
port map (
|
1129
|
+
din1 => ap_const_lv32_FFFFE310,
|
1130
|
+
din2 => ap_const_lv32_FFFFF9B0,
|
1131
|
+
din3 => ap_const_lv32_1CF0,
|
1132
|
+
din4 => ap_const_lv32_650,
|
1133
|
+
din5 => ih_assign_reg_644,
|
1134
|
+
dout => tmp_34_fu_2056_p6);
|
1135
|
+
|
1136
|
+
encode_mux_42_32_fYi_U5 : component encode_mux_42_32_fYi
|
1137
|
+
generic map (
|
1138
|
+
ID => 1,
|
1139
|
+
NUM_STAGE => 1,
|
1140
|
+
din1_WIDTH => 32,
|
1141
|
+
din2_WIDTH => 32,
|
1142
|
+
din3_WIDTH => 32,
|
1143
|
+
din4_WIDTH => 32,
|
1144
|
+
din5_WIDTH => 2,
|
1145
|
+
dout_WIDTH => 32)
|
1146
|
+
port map (
|
1147
|
+
din1 => ap_const_lv32_31E,
|
1148
|
+
din2 => ap_const_lv32_FFFFFF2A,
|
1149
|
+
din3 => ap_const_lv32_31E,
|
1150
|
+
din4 => ap_const_lv32_FFFFFF2A,
|
1151
|
+
din5 => ih_assign_reg_644,
|
1152
|
+
dout => tmp_37_fu_2127_p6);
|
1153
|
+
|
1154
|
+
|
1155
|
+
|
1156
|
+
|
1157
|
+
|
1158
|
+
ap_CS_fsm_assign_proc : process(ap_clk)
|
1159
|
+
begin
|
1160
|
+
if (ap_clk'event and ap_clk = '1') then
|
1161
|
+
if (ap_rst = '1') then
|
1162
|
+
ap_CS_fsm <= ap_ST_fsm_state1;
|
1163
|
+
else
|
1164
|
+
ap_CS_fsm <= ap_NS_fsm;
|
1165
|
+
end if;
|
1166
|
+
end if;
|
1167
|
+
end process;
|
1168
|
+
|
1169
|
+
|
1170
|
+
ap_reg_grp_upzero_fu_653_ap_start_assign_proc : process(ap_clk)
|
1171
|
+
begin
|
1172
|
+
if (ap_clk'event and ap_clk = '1') then
|
1173
|
+
if (ap_rst = '1') then
|
1174
|
+
ap_reg_grp_upzero_fu_653_ap_start <= ap_const_logic_0;
|
1175
|
+
else
|
1176
|
+
if (((ap_const_logic_1 = ap_CS_fsm_state26) or (ap_const_logic_1 = ap_CS_fsm_state38))) then
|
1177
|
+
ap_reg_grp_upzero_fu_653_ap_start <= ap_const_logic_1;
|
1178
|
+
elsif ((ap_const_logic_1 = grp_upzero_fu_653_ap_ready)) then
|
1179
|
+
ap_reg_grp_upzero_fu_653_ap_start <= ap_const_logic_0;
|
1180
|
+
end if;
|
1181
|
+
end if;
|
1182
|
+
end if;
|
1183
|
+
end process;
|
1184
|
+
|
1185
|
+
|
1186
|
+
dlt_pn_rec_i1_reg_633_assign_proc : process (ap_clk)
|
1187
|
+
begin
|
1188
|
+
if (ap_clk'event and ap_clk = '1') then
|
1189
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state33)) then
|
1190
|
+
dlt_pn_rec_i1_reg_633 <= p_01_rec_i1_reg_2986;
|
1191
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state30)) then
|
1192
|
+
dlt_pn_rec_i1_reg_633 <= ap_const_lv3_0;
|
1193
|
+
end if;
|
1194
|
+
end if;
|
1195
|
+
end process;
|
1196
|
+
|
1197
|
+
dlt_pn_rec_i_reg_600_assign_proc : process (ap_clk)
|
1198
|
+
begin
|
1199
|
+
if (ap_clk'event and ap_clk = '1') then
|
1200
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state16)) then
|
1201
|
+
dlt_pn_rec_i_reg_600 <= p_01_rec_i_reg_2800;
|
1202
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state13)) then
|
1203
|
+
dlt_pn_rec_i_reg_600 <= ap_const_lv3_0;
|
1204
|
+
end if;
|
1205
|
+
end if;
|
1206
|
+
end process;
|
1207
|
+
|
1208
|
+
i_1_reg_579_assign_proc : process (ap_clk)
|
1209
|
+
begin
|
1210
|
+
if (ap_clk'event and ap_clk = '1') then
|
1211
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state11)) then
|
1212
|
+
i_1_reg_579 <= i_5_reg_2775;
|
1213
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state9)) then
|
1214
|
+
i_1_reg_579 <= ap_const_lv5_0;
|
1215
|
+
end if;
|
1216
|
+
end if;
|
1217
|
+
end process;
|
1218
|
+
|
1219
|
+
i_reg_537_assign_proc : process (ap_clk)
|
1220
|
+
begin
|
1221
|
+
if (ap_clk'event and ap_clk = '1') then
|
1222
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state7)) then
|
1223
|
+
i_reg_537 <= i_4_reg_2681;
|
1224
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then
|
1225
|
+
i_reg_537 <= ap_const_lv4_0;
|
1226
|
+
end if;
|
1227
|
+
end if;
|
1228
|
+
end process;
|
1229
|
+
|
1230
|
+
ih_assign_proc : process (ap_clk)
|
1231
|
+
begin
|
1232
|
+
if (ap_clk'event and ap_clk = '1') then
|
1233
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state36)) then
|
1234
|
+
ih(1 downto 0) <= tmp_51_cast_cast_fu_2043_p3(1 downto 0);
|
1235
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state35)) then
|
1236
|
+
ih(1 downto 0) <= tmp_46_cast_cast_fu_1978_p3(1 downto 0);
|
1237
|
+
end if;
|
1238
|
+
end if;
|
1239
|
+
end process;
|
1240
|
+
|
1241
|
+
ih_assign_reg_644_assign_proc : process (ap_clk)
|
1242
|
+
begin
|
1243
|
+
if (ap_clk'event and ap_clk = '1') then
|
1244
|
+
if (((ap_const_lv1_0 = tmp_32_fu_2030_p2) and (ap_const_logic_1 = ap_CS_fsm_state35))) then
|
1245
|
+
ih_assign_reg_644 <= tmp_29_fu_1970_p3;
|
1246
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state36)) then
|
1247
|
+
ih_assign_reg_644 <= tmp_33_fu_2036_p3;
|
1248
|
+
end if;
|
1249
|
+
end if;
|
1250
|
+
end process;
|
1251
|
+
|
1252
|
+
mil_i_reg_611_assign_proc : process (ap_clk)
|
1253
|
+
begin
|
1254
|
+
if (ap_clk'event and ap_clk = '1') then
|
1255
|
+
if (((ap_const_lv1_1 = tmp_39_i_fu_1202_p2) and (ap_const_lv1_1 = tmp_35_i_reg_2864) and (ap_const_logic_1 = ap_CS_fsm_state22))) then
|
1256
|
+
mil_i_reg_611 <= mil_reg_2868;
|
1257
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state18)) then
|
1258
|
+
mil_i_reg_611 <= ap_const_lv5_0;
|
1259
|
+
end if;
|
1260
|
+
end if;
|
1261
|
+
end process;
|
1262
|
+
|
1263
|
+
tqmf_ptr_0_pn_rec_reg_568_assign_proc : process (ap_clk)
|
1264
|
+
begin
|
1265
|
+
if (ap_clk'event and ap_clk = '1') then
|
1266
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state11)) then
|
1267
|
+
tqmf_ptr_0_pn_rec_reg_568 <= tqmf_ptr1_0_rec_reg_2762;
|
1268
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state9)) then
|
1269
|
+
tqmf_ptr_0_pn_rec_reg_568 <= ap_const_lv6_0;
|
1270
|
+
end if;
|
1271
|
+
end if;
|
1272
|
+
end process;
|
1273
|
+
|
1274
|
+
tqmf_ptr_0_rec_reg_526_assign_proc : process (ap_clk)
|
1275
|
+
begin
|
1276
|
+
if (ap_clk'event and ap_clk = '1') then
|
1277
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state7)) then
|
1278
|
+
tqmf_ptr_0_rec_reg_526 <= phitmp_reg_2696;
|
1279
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then
|
1280
|
+
tqmf_ptr_0_rec_reg_526 <= ap_const_lv5_2;
|
1281
|
+
end if;
|
1282
|
+
end if;
|
1283
|
+
end process;
|
1284
|
+
|
1285
|
+
xa1_reg_548_assign_proc : process (ap_clk)
|
1286
|
+
begin
|
1287
|
+
if (ap_clk'event and ap_clk = '1') then
|
1288
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state7)) then
|
1289
|
+
xa1_reg_548 <= xa_2_fu_805_p2;
|
1290
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then
|
1291
|
+
xa1_reg_548 <= xa_cast_fu_722_p1;
|
1292
|
+
end if;
|
1293
|
+
end if;
|
1294
|
+
end process;
|
1295
|
+
|
1296
|
+
xb1_reg_558_assign_proc : process (ap_clk)
|
1297
|
+
begin
|
1298
|
+
if (ap_clk'event and ap_clk = '1') then
|
1299
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state7)) then
|
1300
|
+
xb1_reg_558 <= xb_2_fu_814_p2;
|
1301
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state3)) then
|
1302
|
+
xb1_reg_558 <= xb_cast_fu_736_p1;
|
1303
|
+
end if;
|
1304
|
+
end if;
|
1305
|
+
end process;
|
1306
|
+
|
1307
|
+
zl1_i1_reg_623_assign_proc : process (ap_clk)
|
1308
|
+
begin
|
1309
|
+
if (ap_clk'event and ap_clk = '1') then
|
1310
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state33)) then
|
1311
|
+
zl1_i1_reg_623 <= zl_3_fu_1880_p2;
|
1312
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state30)) then
|
1313
|
+
zl1_i1_reg_623 <= zl_2_fu_1798_p2;
|
1314
|
+
end if;
|
1315
|
+
end if;
|
1316
|
+
end process;
|
1317
|
+
|
1318
|
+
zl1_i_reg_590_assign_proc : process (ap_clk)
|
1319
|
+
begin
|
1320
|
+
if (ap_clk'event and ap_clk = '1') then
|
1321
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state16)) then
|
1322
|
+
zl1_i_reg_590 <= zl_1_fu_1074_p2;
|
1323
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state13)) then
|
1324
|
+
zl1_i_reg_590 <= zl_fu_992_p2;
|
1325
|
+
end if;
|
1326
|
+
end if;
|
1327
|
+
end process;
|
1328
|
+
process (ap_clk)
|
1329
|
+
begin
|
1330
|
+
if (ap_clk'event and ap_clk = '1') then
|
1331
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state42)) then
|
1332
|
+
ah1 <= apl1_7_cast_fu_2586_p1;
|
1333
|
+
ah2 <= apl2_assign_1_cast_fu_2524_p1;
|
1334
|
+
rh1 <= tmp_45_fu_2604_p2;
|
1335
|
+
rh2 <= rh1;
|
1336
|
+
end if;
|
1337
|
+
end if;
|
1338
|
+
end process;
|
1339
|
+
process (ap_clk)
|
1340
|
+
begin
|
1341
|
+
if (ap_clk'event and ap_clk = '1') then
|
1342
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state30)) then
|
1343
|
+
al1 <= apl1_3_cast_fu_1750_p1;
|
1344
|
+
al2 <= apl2_assign_cast_fu_1688_p1;
|
1345
|
+
rlt1 <= tmp_25_fu_1768_p2;
|
1346
|
+
rlt2 <= rlt1;
|
1347
|
+
end if;
|
1348
|
+
end if;
|
1349
|
+
end process;
|
1350
|
+
process (ap_clk)
|
1351
|
+
begin
|
1352
|
+
if (ap_clk'event and ap_clk = '1') then
|
1353
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state40)) then
|
1354
|
+
apl1_4_reg_3111 <= apl1_4_fu_2488_p2;
|
1355
|
+
apl2_1_reg_3105 <= apl2_1_fu_2447_p2;
|
1356
|
+
end if;
|
1357
|
+
end if;
|
1358
|
+
end process;
|
1359
|
+
process (ap_clk)
|
1360
|
+
begin
|
1361
|
+
if (ap_clk'event and ap_clk = '1') then
|
1362
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state28)) then
|
1363
|
+
apl1_reg_2959 <= apl1_fu_1652_p2;
|
1364
|
+
apl2_reg_2953 <= apl2_fu_1611_p2;
|
1365
|
+
end if;
|
1366
|
+
end if;
|
1367
|
+
end process;
|
1368
|
+
process (ap_clk)
|
1369
|
+
begin
|
1370
|
+
if (ap_clk'event and ap_clk = '1') then
|
1371
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state41)) then
|
1372
|
+
apl2_assign_1_reg_3117 <= apl2_assign_1_fu_2516_p3;
|
1373
|
+
end if;
|
1374
|
+
end if;
|
1375
|
+
end process;
|
1376
|
+
process (ap_clk)
|
1377
|
+
begin
|
1378
|
+
if (ap_clk'event and ap_clk = '1') then
|
1379
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state29)) then
|
1380
|
+
apl2_assign_reg_2965 <= apl2_assign_fu_1680_p3;
|
1381
|
+
delay_bph_load_reg_2971 <= delay_bph_q0;
|
1382
|
+
delay_dhx_load_reg_2976 <= delay_dhx_q0;
|
1383
|
+
end if;
|
1384
|
+
end if;
|
1385
|
+
end process;
|
1386
|
+
process (ap_clk)
|
1387
|
+
begin
|
1388
|
+
if (ap_clk'event and ap_clk = '1') then
|
1389
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state20)) then
|
1390
|
+
decis_levl_load_reg_2878 <= decis_levl_q0;
|
1391
|
+
end if;
|
1392
|
+
end if;
|
1393
|
+
end process;
|
1394
|
+
process (ap_clk)
|
1395
|
+
begin
|
1396
|
+
if (ap_clk'event and ap_clk = '1') then
|
1397
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state12)) then
|
1398
|
+
delay_bpl_load_reg_2785 <= delay_bpl_q0;
|
1399
|
+
delay_dltx_load_reg_2790 <= delay_dltx_q0;
|
1400
|
+
end if;
|
1401
|
+
end if;
|
1402
|
+
end process;
|
1403
|
+
process (ap_clk)
|
1404
|
+
begin
|
1405
|
+
if (ap_clk'event and ap_clk = '1') then
|
1406
|
+
if (((grp_upzero_fu_653_ap_done = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state39))) then
|
1407
|
+
deth(14 downto 3) <= tmp_34_i1_cast_fu_2267_p1(14 downto 3);
|
1408
|
+
ph1 <= ph;
|
1409
|
+
ph2 <= ph1;
|
1410
|
+
tmp_19_i1_reg_3100 <= tmp_19_i1_fu_2315_p2;
|
1411
|
+
tmp_59_reg_3094 <= tmp_15_i1_fu_2297_p2(63 downto 63);
|
1412
|
+
end if;
|
1413
|
+
end if;
|
1414
|
+
end process;
|
1415
|
+
process (ap_clk)
|
1416
|
+
begin
|
1417
|
+
if (ap_clk'event and ap_clk = '1') then
|
1418
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state26)) then
|
1419
|
+
detl(14 downto 3) <= tmp_34_i_cast_fu_1421_p1(14 downto 3);
|
1420
|
+
plt <= tmp_21_fu_1431_p2;
|
1421
|
+
end if;
|
1422
|
+
end if;
|
1423
|
+
end process;
|
1424
|
+
process (ap_clk)
|
1425
|
+
begin
|
1426
|
+
if (ap_clk'event and ap_clk = '1') then
|
1427
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state37)) then
|
1428
|
+
dh <= tmp_35_fu_2074_p2(46 downto 15);
|
1429
|
+
tmp_36_reg_3068 <= tmp_35_fu_2074_p2(46 downto 15);
|
1430
|
+
tmp_48_i_reg_3079 <= tmp_48_i_fu_2187_p2;
|
1431
|
+
tmp_58_reg_3074 <= tmp_58_fu_2183_p1;
|
1432
|
+
end if;
|
1433
|
+
end if;
|
1434
|
+
end process;
|
1435
|
+
process (ap_clk)
|
1436
|
+
begin
|
1437
|
+
if (ap_clk'event and ap_clk = '1') then
|
1438
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state25)) then
|
1439
|
+
dlt <= tmp_18_fu_1336_p2(46 downto 15);
|
1440
|
+
nbl(14 downto 0) <= nbl_assign_2_cast_fu_1363_p1(14 downto 0);
|
1441
|
+
tmp_19_reg_2926 <= tmp_18_fu_1336_p2(46 downto 15);
|
1442
|
+
wd2_cast_reg_2932 <= nbl_assign_2_fu_1357_p3(14 downto 11);
|
1443
|
+
end if;
|
1444
|
+
end if;
|
1445
|
+
end process;
|
1446
|
+
process (ap_clk)
|
1447
|
+
begin
|
1448
|
+
if (ap_clk'event and ap_clk = '1') then
|
1449
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state5)) then
|
1450
|
+
h_load_reg_2701 <= h_q0;
|
1451
|
+
h_ptr_load_reg_2706 <= h_q1;
|
1452
|
+
end if;
|
1453
|
+
end if;
|
1454
|
+
end process;
|
1455
|
+
process (ap_clk)
|
1456
|
+
begin
|
1457
|
+
if (ap_clk'event and ap_clk = '1') then
|
1458
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state4)) then
|
1459
|
+
i_4_reg_2681 <= i_4_fu_752_p2;
|
1460
|
+
tqmf_addr_reg_2673 <= tqmf_ptr_0_rec_cast_fu_740_p1(5 - 1 downto 0);
|
1461
|
+
end if;
|
1462
|
+
end if;
|
1463
|
+
end process;
|
1464
|
+
process (ap_clk)
|
1465
|
+
begin
|
1466
|
+
if (ap_clk'event and ap_clk = '1') then
|
1467
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state10)) then
|
1468
|
+
i_5_reg_2775 <= i_5_fu_940_p2;
|
1469
|
+
tqmf_addr_2_reg_2757 <= p_sum_cast_fu_912_p1(5 - 1 downto 0);
|
1470
|
+
tqmf_ptr1_0_rec_reg_2762 <= tqmf_ptr1_0_rec_fu_917_p2;
|
1471
|
+
end if;
|
1472
|
+
end if;
|
1473
|
+
end process;
|
1474
|
+
process (ap_clk)
|
1475
|
+
begin
|
1476
|
+
if (ap_clk'event and ap_clk = '1') then
|
1477
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state23)) then
|
1478
|
+
il(5 downto 0) <= il_assign_cast_fu_1219_p1(5 downto 0);
|
1479
|
+
end if;
|
1480
|
+
end if;
|
1481
|
+
end process;
|
1482
|
+
process (ap_clk)
|
1483
|
+
begin
|
1484
|
+
if (ap_clk'event and ap_clk = '1') then
|
1485
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state19)) then
|
1486
|
+
mil_reg_2868 <= mil_fu_1173_p2;
|
1487
|
+
tmp_35_i_reg_2864 <= tmp_35_i_fu_1167_p2;
|
1488
|
+
end if;
|
1489
|
+
end if;
|
1490
|
+
end process;
|
1491
|
+
process (ap_clk)
|
1492
|
+
begin
|
1493
|
+
if (ap_clk'event and ap_clk = '1') then
|
1494
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state18)) then
|
1495
|
+
n_assign_1_reg_2853 <= n_assign_1_fu_1155_p3;
|
1496
|
+
sl <= tmp_13_fu_1115_p2;
|
1497
|
+
tmp_27_reg_2848 <= el_assign_fu_1126_p2(31 downto 31);
|
1498
|
+
tmp_50_i_reg_2843 <= zl1_i_reg_590(45 downto 14);
|
1499
|
+
tmp_i1_cast_reg_2858(14 downto 3) <= tmp_i1_cast_fu_1163_p1(14 downto 3);
|
1500
|
+
end if;
|
1501
|
+
end if;
|
1502
|
+
end process;
|
1503
|
+
process (ap_clk)
|
1504
|
+
begin
|
1505
|
+
if (ap_clk'event and ap_clk = '1') then
|
1506
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state38)) then
|
1507
|
+
nbh(14 downto 0) <= nbl_assign_3_cast_fu_2199_p1(14 downto 0);
|
1508
|
+
ph <= tmp_40_fu_2234_p2;
|
1509
|
+
wd2_4_cast_reg_3084 <= nbl_assign_3_fu_2193_p3(14 downto 11);
|
1510
|
+
end if;
|
1511
|
+
end if;
|
1512
|
+
end process;
|
1513
|
+
process (ap_clk)
|
1514
|
+
begin
|
1515
|
+
if (ap_clk'event and ap_clk = '1') then
|
1516
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state31)) then
|
1517
|
+
p_01_rec_i1_reg_2986 <= p_01_rec_i1_fu_1804_p2;
|
1518
|
+
end if;
|
1519
|
+
end if;
|
1520
|
+
end process;
|
1521
|
+
process (ap_clk)
|
1522
|
+
begin
|
1523
|
+
if (ap_clk'event and ap_clk = '1') then
|
1524
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state14)) then
|
1525
|
+
p_01_rec_i_reg_2800 <= p_01_rec_i_fu_998_p2;
|
1526
|
+
end if;
|
1527
|
+
end if;
|
1528
|
+
end process;
|
1529
|
+
process (ap_clk)
|
1530
|
+
begin
|
1531
|
+
if (ap_clk'event and ap_clk = '1') then
|
1532
|
+
if (((exitcond2_fu_746_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4))) then
|
1533
|
+
phitmp_reg_2696 <= phitmp_fu_770_p2;
|
1534
|
+
end if;
|
1535
|
+
end if;
|
1536
|
+
end process;
|
1537
|
+
process (ap_clk)
|
1538
|
+
begin
|
1539
|
+
if (ap_clk'event and ap_clk = '1') then
|
1540
|
+
if (((ap_const_lv1_1 = exitcond5_fu_1010_p2) and (ap_const_logic_1 = ap_CS_fsm_state14))) then
|
1541
|
+
pl_1_reg_2818(63 downto 1) <= pl_1_fu_1034_p2(63 downto 1);
|
1542
|
+
tmp_56_i_reg_2823(63 downto 1) <= tmp_56_i_fu_1054_p2(63 downto 1);
|
1543
|
+
end if;
|
1544
|
+
end if;
|
1545
|
+
end process;
|
1546
|
+
process (ap_clk)
|
1547
|
+
begin
|
1548
|
+
if (ap_clk'event and ap_clk = '1') then
|
1549
|
+
if (((ap_const_lv1_1 = exitcond4_fu_1816_p2) and (ap_const_logic_1 = ap_CS_fsm_state31))) then
|
1550
|
+
pl_4_reg_3004 <= pl_4_fu_1840_p2;
|
1551
|
+
tmp_56_i1_reg_3009 <= tmp_56_i1_fu_1860_p2;
|
1552
|
+
end if;
|
1553
|
+
end if;
|
1554
|
+
end process;
|
1555
|
+
process (ap_clk)
|
1556
|
+
begin
|
1557
|
+
if (ap_clk'event and ap_clk = '1') then
|
1558
|
+
if (((grp_upzero_fu_653_ap_done = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state27))) then
|
1559
|
+
plt1 <= plt;
|
1560
|
+
plt2 <= plt1;
|
1561
|
+
tmp_19_i_reg_2948 <= tmp_19_i_fu_1479_p2;
|
1562
|
+
tmp_38_reg_2942 <= tmp_15_i_fu_1461_p2(63 downto 63);
|
1563
|
+
end if;
|
1564
|
+
end if;
|
1565
|
+
end process;
|
1566
|
+
process (ap_clk)
|
1567
|
+
begin
|
1568
|
+
if (ap_clk'event and ap_clk = '1') then
|
1569
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state24)) then
|
1570
|
+
qq4_code4_table_load_reg_2911 <= qq4_code4_table_q0;
|
1571
|
+
tmp_30_reg_2916 <= tmp_30_fu_1323_p1;
|
1572
|
+
tmp_45_i_reg_2921 <= tmp_45_i_fu_1327_p2;
|
1573
|
+
end if;
|
1574
|
+
end if;
|
1575
|
+
end process;
|
1576
|
+
process (ap_clk)
|
1577
|
+
begin
|
1578
|
+
if (ap_clk'event and ap_clk = '1') then
|
1579
|
+
if (((ap_const_logic_1 = ap_CS_fsm_state5) or (ap_const_logic_1 = ap_CS_fsm_state2))) then
|
1580
|
+
reg_688 <= tqmf_q0;
|
1581
|
+
end if;
|
1582
|
+
end if;
|
1583
|
+
end process;
|
1584
|
+
process (ap_clk)
|
1585
|
+
begin
|
1586
|
+
if (ap_clk'event and ap_clk = '1') then
|
1587
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state34)) then
|
1588
|
+
sh <= tmp_26_fu_1921_p2;
|
1589
|
+
tmp_26_reg_3029 <= tmp_26_fu_1921_p2;
|
1590
|
+
tmp_31_reg_3039(42 downto 3) <= tmp_31_fu_1941_p2(42 downto 3);
|
1591
|
+
tmp_50_i1_reg_3024 <= zl1_i1_reg_623(45 downto 14);
|
1592
|
+
end if;
|
1593
|
+
end if;
|
1594
|
+
end process;
|
1595
|
+
process (ap_clk)
|
1596
|
+
begin
|
1597
|
+
if (ap_clk'event and ap_clk = '1') then
|
1598
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state6)) then
|
1599
|
+
tmp_1_reg_2716 <= tmp_1_fu_796_p2;
|
1600
|
+
tmp_9_reg_2711 <= tmp_9_fu_783_p2;
|
1601
|
+
end if;
|
1602
|
+
end if;
|
1603
|
+
end process;
|
1604
|
+
process (ap_clk)
|
1605
|
+
begin
|
1606
|
+
if (ap_clk'event and ap_clk = '1') then
|
1607
|
+
if (((exitcond_fu_934_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state10))) then
|
1608
|
+
tmp_3_reg_2780 <= tmp_4_fu_946_p2(46 downto 15);
|
1609
|
+
xh <= tmp_10_fu_966_p2(46 downto 15);
|
1610
|
+
end if;
|
1611
|
+
end if;
|
1612
|
+
end process;
|
1613
|
+
process (ap_clk)
|
1614
|
+
begin
|
1615
|
+
if (ap_clk'event and ap_clk = '1') then
|
1616
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state35)) then
|
1617
|
+
tmp_47_cast1_reg_3055(14 downto 3) <= tmp_47_cast1_fu_1992_p1(14 downto 3);
|
1618
|
+
tmp_54_reg_3044 <= n_assign_2_fu_1951_p2(31 downto 31);
|
1619
|
+
end if;
|
1620
|
+
end if;
|
1621
|
+
end process;
|
1622
|
+
process (ap_clk)
|
1623
|
+
begin
|
1624
|
+
if (ap_clk'event and ap_clk = '1') then
|
1625
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state32)) then
|
1626
|
+
tmp_53_i1_reg_3014 <= tmp_53_i1_fu_1874_p2;
|
1627
|
+
end if;
|
1628
|
+
end if;
|
1629
|
+
end process;
|
1630
|
+
process (ap_clk)
|
1631
|
+
begin
|
1632
|
+
if (ap_clk'event and ap_clk = '1') then
|
1633
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state15)) then
|
1634
|
+
tmp_53_i_reg_2828 <= tmp_53_i_fu_1068_p2;
|
1635
|
+
end if;
|
1636
|
+
end if;
|
1637
|
+
end process;
|
1638
|
+
process (ap_clk)
|
1639
|
+
begin
|
1640
|
+
if (ap_clk'event and ap_clk = '1') then
|
1641
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state17)) then
|
1642
|
+
tmp_58_i_reg_2838 <= pl_2_fu_1079_p2(46 downto 15);
|
1643
|
+
end if;
|
1644
|
+
end if;
|
1645
|
+
end process;
|
1646
|
+
process (ap_clk)
|
1647
|
+
begin
|
1648
|
+
if (ap_clk'event and ap_clk = '1') then
|
1649
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state21)) then
|
1650
|
+
tmp_5_reg_2883 <= tmp_38_i_fu_1187_p2(46 downto 15);
|
1651
|
+
end if;
|
1652
|
+
end if;
|
1653
|
+
end process;
|
1654
|
+
process (ap_clk)
|
1655
|
+
begin
|
1656
|
+
if (ap_clk'event and ap_clk = '1') then
|
1657
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state8)) then
|
1658
|
+
tmp_s_reg_2731 <= tmp_s_fu_824_p2;
|
1659
|
+
end if;
|
1660
|
+
end if;
|
1661
|
+
end process;
|
1662
|
+
process (ap_clk)
|
1663
|
+
begin
|
1664
|
+
if (ap_clk'event and ap_clk = '1') then
|
1665
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state9)) then
|
1666
|
+
xa_1_cast_reg_2742 <= xa_1_cast_fu_846_p2;
|
1667
|
+
xa_1_reg_2737 <= xa_1_fu_840_p2;
|
1668
|
+
xb_1_cast_reg_2752 <= xb_1_cast_fu_900_p2;
|
1669
|
+
xb_1_reg_2747 <= xb_1_fu_894_p2;
|
1670
|
+
end if;
|
1671
|
+
end if;
|
1672
|
+
end process;
|
1673
|
+
detl(2 downto 0) <= "000";
|
1674
|
+
detl(31 downto 15) <= "00000000000000000";
|
1675
|
+
il(31 downto 6) <= "00000000000000000000000000";
|
1676
|
+
nbl(31 downto 15) <= "00000000000000000";
|
1677
|
+
ih(31 downto 2) <= "000000000000000000000000000000";
|
1678
|
+
deth(2 downto 0) <= "000";
|
1679
|
+
deth(31 downto 15) <= "00000000000000000";
|
1680
|
+
nbh(31 downto 15) <= "00000000000000000";
|
1681
|
+
pl_1_reg_2818(0) <= '0';
|
1682
|
+
tmp_56_i_reg_2823(0) <= '0';
|
1683
|
+
tmp_i1_cast_reg_2858(2 downto 0) <= "000";
|
1684
|
+
tmp_i1_cast_reg_2858(46 downto 15) <= "00000000000000000000000000000000";
|
1685
|
+
tmp_31_reg_3039(2 downto 0) <= "000";
|
1686
|
+
tmp_47_cast1_reg_3055(2 downto 0) <= "000";
|
1687
|
+
tmp_47_cast1_reg_3055(46 downto 15) <= "00000000000000000000000000000000";
|
1688
|
+
|
1689
|
+
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state4, exitcond2_fu_746_p2, ap_CS_fsm_state10, exitcond_fu_934_p2, ap_CS_fsm_state14, exitcond5_fu_1010_p2, tmp_35_i_fu_1167_p2, tmp_35_i_reg_2864, ap_CS_fsm_state19, ap_CS_fsm_state22, tmp_39_i_fu_1202_p2, ap_CS_fsm_state27, grp_upzero_fu_653_ap_done, ap_CS_fsm_state31, exitcond4_fu_1816_p2, ap_CS_fsm_state35, ap_CS_fsm_state39, tmp_32_fu_2030_p2)
|
1690
|
+
begin
|
1691
|
+
case ap_CS_fsm is
|
1692
|
+
when ap_ST_fsm_state1 =>
|
1693
|
+
if (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
|
1694
|
+
ap_NS_fsm <= ap_ST_fsm_state2;
|
1695
|
+
else
|
1696
|
+
ap_NS_fsm <= ap_ST_fsm_state1;
|
1697
|
+
end if;
|
1698
|
+
when ap_ST_fsm_state2 =>
|
1699
|
+
ap_NS_fsm <= ap_ST_fsm_state3;
|
1700
|
+
when ap_ST_fsm_state3 =>
|
1701
|
+
ap_NS_fsm <= ap_ST_fsm_state4;
|
1702
|
+
when ap_ST_fsm_state4 =>
|
1703
|
+
if (((exitcond2_fu_746_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state4))) then
|
1704
|
+
ap_NS_fsm <= ap_ST_fsm_state8;
|
1705
|
+
else
|
1706
|
+
ap_NS_fsm <= ap_ST_fsm_state5;
|
1707
|
+
end if;
|
1708
|
+
when ap_ST_fsm_state5 =>
|
1709
|
+
ap_NS_fsm <= ap_ST_fsm_state6;
|
1710
|
+
when ap_ST_fsm_state6 =>
|
1711
|
+
ap_NS_fsm <= ap_ST_fsm_state7;
|
1712
|
+
when ap_ST_fsm_state7 =>
|
1713
|
+
ap_NS_fsm <= ap_ST_fsm_state4;
|
1714
|
+
when ap_ST_fsm_state8 =>
|
1715
|
+
ap_NS_fsm <= ap_ST_fsm_state9;
|
1716
|
+
when ap_ST_fsm_state9 =>
|
1717
|
+
ap_NS_fsm <= ap_ST_fsm_state10;
|
1718
|
+
when ap_ST_fsm_state10 =>
|
1719
|
+
if (((exitcond_fu_934_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state10))) then
|
1720
|
+
ap_NS_fsm <= ap_ST_fsm_state12;
|
1721
|
+
else
|
1722
|
+
ap_NS_fsm <= ap_ST_fsm_state11;
|
1723
|
+
end if;
|
1724
|
+
when ap_ST_fsm_state11 =>
|
1725
|
+
ap_NS_fsm <= ap_ST_fsm_state10;
|
1726
|
+
when ap_ST_fsm_state12 =>
|
1727
|
+
ap_NS_fsm <= ap_ST_fsm_state13;
|
1728
|
+
when ap_ST_fsm_state13 =>
|
1729
|
+
ap_NS_fsm <= ap_ST_fsm_state14;
|
1730
|
+
when ap_ST_fsm_state14 =>
|
1731
|
+
if (((ap_const_lv1_1 = exitcond5_fu_1010_p2) and (ap_const_logic_1 = ap_CS_fsm_state14))) then
|
1732
|
+
ap_NS_fsm <= ap_ST_fsm_state17;
|
1733
|
+
else
|
1734
|
+
ap_NS_fsm <= ap_ST_fsm_state15;
|
1735
|
+
end if;
|
1736
|
+
when ap_ST_fsm_state15 =>
|
1737
|
+
ap_NS_fsm <= ap_ST_fsm_state16;
|
1738
|
+
when ap_ST_fsm_state16 =>
|
1739
|
+
ap_NS_fsm <= ap_ST_fsm_state14;
|
1740
|
+
when ap_ST_fsm_state17 =>
|
1741
|
+
ap_NS_fsm <= ap_ST_fsm_state18;
|
1742
|
+
when ap_ST_fsm_state18 =>
|
1743
|
+
ap_NS_fsm <= ap_ST_fsm_state19;
|
1744
|
+
when ap_ST_fsm_state19 =>
|
1745
|
+
if (((ap_const_lv1_0 = tmp_35_i_fu_1167_p2) and (ap_const_logic_1 = ap_CS_fsm_state19))) then
|
1746
|
+
ap_NS_fsm <= ap_ST_fsm_state22;
|
1747
|
+
else
|
1748
|
+
ap_NS_fsm <= ap_ST_fsm_state20;
|
1749
|
+
end if;
|
1750
|
+
when ap_ST_fsm_state20 =>
|
1751
|
+
ap_NS_fsm <= ap_ST_fsm_state21;
|
1752
|
+
when ap_ST_fsm_state21 =>
|
1753
|
+
ap_NS_fsm <= ap_ST_fsm_state22;
|
1754
|
+
when ap_ST_fsm_state22 =>
|
1755
|
+
if (((ap_const_logic_1 = ap_CS_fsm_state22) and ((ap_const_lv1_0 = tmp_39_i_fu_1202_p2) or (ap_const_lv1_0 = tmp_35_i_reg_2864)))) then
|
1756
|
+
ap_NS_fsm <= ap_ST_fsm_state23;
|
1757
|
+
else
|
1758
|
+
ap_NS_fsm <= ap_ST_fsm_state19;
|
1759
|
+
end if;
|
1760
|
+
when ap_ST_fsm_state23 =>
|
1761
|
+
ap_NS_fsm <= ap_ST_fsm_state24;
|
1762
|
+
when ap_ST_fsm_state24 =>
|
1763
|
+
ap_NS_fsm <= ap_ST_fsm_state25;
|
1764
|
+
when ap_ST_fsm_state25 =>
|
1765
|
+
ap_NS_fsm <= ap_ST_fsm_state26;
|
1766
|
+
when ap_ST_fsm_state26 =>
|
1767
|
+
ap_NS_fsm <= ap_ST_fsm_state27;
|
1768
|
+
when ap_ST_fsm_state27 =>
|
1769
|
+
if (((grp_upzero_fu_653_ap_done = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state27))) then
|
1770
|
+
ap_NS_fsm <= ap_ST_fsm_state28;
|
1771
|
+
else
|
1772
|
+
ap_NS_fsm <= ap_ST_fsm_state27;
|
1773
|
+
end if;
|
1774
|
+
when ap_ST_fsm_state28 =>
|
1775
|
+
ap_NS_fsm <= ap_ST_fsm_state29;
|
1776
|
+
when ap_ST_fsm_state29 =>
|
1777
|
+
ap_NS_fsm <= ap_ST_fsm_state30;
|
1778
|
+
when ap_ST_fsm_state30 =>
|
1779
|
+
ap_NS_fsm <= ap_ST_fsm_state31;
|
1780
|
+
when ap_ST_fsm_state31 =>
|
1781
|
+
if (((ap_const_lv1_1 = exitcond4_fu_1816_p2) and (ap_const_logic_1 = ap_CS_fsm_state31))) then
|
1782
|
+
ap_NS_fsm <= ap_ST_fsm_state34;
|
1783
|
+
else
|
1784
|
+
ap_NS_fsm <= ap_ST_fsm_state32;
|
1785
|
+
end if;
|
1786
|
+
when ap_ST_fsm_state32 =>
|
1787
|
+
ap_NS_fsm <= ap_ST_fsm_state33;
|
1788
|
+
when ap_ST_fsm_state33 =>
|
1789
|
+
ap_NS_fsm <= ap_ST_fsm_state31;
|
1790
|
+
when ap_ST_fsm_state34 =>
|
1791
|
+
ap_NS_fsm <= ap_ST_fsm_state35;
|
1792
|
+
when ap_ST_fsm_state35 =>
|
1793
|
+
if (((ap_const_lv1_0 = tmp_32_fu_2030_p2) and (ap_const_logic_1 = ap_CS_fsm_state35))) then
|
1794
|
+
ap_NS_fsm <= ap_ST_fsm_state37;
|
1795
|
+
else
|
1796
|
+
ap_NS_fsm <= ap_ST_fsm_state36;
|
1797
|
+
end if;
|
1798
|
+
when ap_ST_fsm_state36 =>
|
1799
|
+
ap_NS_fsm <= ap_ST_fsm_state37;
|
1800
|
+
when ap_ST_fsm_state37 =>
|
1801
|
+
ap_NS_fsm <= ap_ST_fsm_state38;
|
1802
|
+
when ap_ST_fsm_state38 =>
|
1803
|
+
ap_NS_fsm <= ap_ST_fsm_state39;
|
1804
|
+
when ap_ST_fsm_state39 =>
|
1805
|
+
if (((grp_upzero_fu_653_ap_done = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state39))) then
|
1806
|
+
ap_NS_fsm <= ap_ST_fsm_state40;
|
1807
|
+
else
|
1808
|
+
ap_NS_fsm <= ap_ST_fsm_state39;
|
1809
|
+
end if;
|
1810
|
+
when ap_ST_fsm_state40 =>
|
1811
|
+
ap_NS_fsm <= ap_ST_fsm_state41;
|
1812
|
+
when ap_ST_fsm_state41 =>
|
1813
|
+
ap_NS_fsm <= ap_ST_fsm_state42;
|
1814
|
+
when ap_ST_fsm_state42 =>
|
1815
|
+
ap_NS_fsm <= ap_ST_fsm_state1;
|
1816
|
+
when others =>
|
1817
|
+
ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
|
1818
|
+
end case;
|
1819
|
+
end process;
|
1820
|
+
ap_CS_fsm_state1 <= ap_CS_fsm(0);
|
1821
|
+
ap_CS_fsm_state10 <= ap_CS_fsm(9);
|
1822
|
+
ap_CS_fsm_state11 <= ap_CS_fsm(10);
|
1823
|
+
ap_CS_fsm_state12 <= ap_CS_fsm(11);
|
1824
|
+
ap_CS_fsm_state13 <= ap_CS_fsm(12);
|
1825
|
+
ap_CS_fsm_state14 <= ap_CS_fsm(13);
|
1826
|
+
ap_CS_fsm_state15 <= ap_CS_fsm(14);
|
1827
|
+
ap_CS_fsm_state16 <= ap_CS_fsm(15);
|
1828
|
+
ap_CS_fsm_state17 <= ap_CS_fsm(16);
|
1829
|
+
ap_CS_fsm_state18 <= ap_CS_fsm(17);
|
1830
|
+
ap_CS_fsm_state19 <= ap_CS_fsm(18);
|
1831
|
+
ap_CS_fsm_state2 <= ap_CS_fsm(1);
|
1832
|
+
ap_CS_fsm_state20 <= ap_CS_fsm(19);
|
1833
|
+
ap_CS_fsm_state21 <= ap_CS_fsm(20);
|
1834
|
+
ap_CS_fsm_state22 <= ap_CS_fsm(21);
|
1835
|
+
ap_CS_fsm_state23 <= ap_CS_fsm(22);
|
1836
|
+
ap_CS_fsm_state24 <= ap_CS_fsm(23);
|
1837
|
+
ap_CS_fsm_state25 <= ap_CS_fsm(24);
|
1838
|
+
ap_CS_fsm_state26 <= ap_CS_fsm(25);
|
1839
|
+
ap_CS_fsm_state27 <= ap_CS_fsm(26);
|
1840
|
+
ap_CS_fsm_state28 <= ap_CS_fsm(27);
|
1841
|
+
ap_CS_fsm_state29 <= ap_CS_fsm(28);
|
1842
|
+
ap_CS_fsm_state3 <= ap_CS_fsm(2);
|
1843
|
+
ap_CS_fsm_state30 <= ap_CS_fsm(29);
|
1844
|
+
ap_CS_fsm_state31 <= ap_CS_fsm(30);
|
1845
|
+
ap_CS_fsm_state32 <= ap_CS_fsm(31);
|
1846
|
+
ap_CS_fsm_state33 <= ap_CS_fsm(32);
|
1847
|
+
ap_CS_fsm_state34 <= ap_CS_fsm(33);
|
1848
|
+
ap_CS_fsm_state35 <= ap_CS_fsm(34);
|
1849
|
+
ap_CS_fsm_state36 <= ap_CS_fsm(35);
|
1850
|
+
ap_CS_fsm_state37 <= ap_CS_fsm(36);
|
1851
|
+
ap_CS_fsm_state38 <= ap_CS_fsm(37);
|
1852
|
+
ap_CS_fsm_state39 <= ap_CS_fsm(38);
|
1853
|
+
ap_CS_fsm_state4 <= ap_CS_fsm(3);
|
1854
|
+
ap_CS_fsm_state40 <= ap_CS_fsm(39);
|
1855
|
+
ap_CS_fsm_state41 <= ap_CS_fsm(40);
|
1856
|
+
ap_CS_fsm_state42 <= ap_CS_fsm(41);
|
1857
|
+
ap_CS_fsm_state5 <= ap_CS_fsm(4);
|
1858
|
+
ap_CS_fsm_state6 <= ap_CS_fsm(5);
|
1859
|
+
ap_CS_fsm_state7 <= ap_CS_fsm(6);
|
1860
|
+
ap_CS_fsm_state8 <= ap_CS_fsm(7);
|
1861
|
+
ap_CS_fsm_state9 <= ap_CS_fsm(8);
|
1862
|
+
|
1863
|
+
ap_done_assign_proc : process(ap_CS_fsm_state42)
|
1864
|
+
begin
|
1865
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state42)) then
|
1866
|
+
ap_done <= ap_const_logic_1;
|
1867
|
+
else
|
1868
|
+
ap_done <= ap_const_logic_0;
|
1869
|
+
end if;
|
1870
|
+
end process;
|
1871
|
+
|
1872
|
+
|
1873
|
+
ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1)
|
1874
|
+
begin
|
1875
|
+
if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
|
1876
|
+
ap_idle <= ap_const_logic_1;
|
1877
|
+
else
|
1878
|
+
ap_idle <= ap_const_logic_0;
|
1879
|
+
end if;
|
1880
|
+
end process;
|
1881
|
+
|
1882
|
+
|
1883
|
+
ap_ready_assign_proc : process(ap_CS_fsm_state42)
|
1884
|
+
begin
|
1885
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state42)) then
|
1886
|
+
ap_ready <= ap_const_logic_1;
|
1887
|
+
else
|
1888
|
+
ap_ready <= ap_const_logic_0;
|
1889
|
+
end if;
|
1890
|
+
end process;
|
1891
|
+
|
1892
|
+
ap_return <= (tmp_65_fu_2636_p2 or il);
|
1893
|
+
apl1_2_cast_fu_1732_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(apl1_2_fu_1726_p2),32));
|
1894
|
+
|
1895
|
+
apl1_2_fu_1726_p2 <= std_logic_vector(unsigned(ap_const_lv16_0) - unsigned(apl1_8_cast1_fu_1702_p1));
|
1896
|
+
apl1_3_cast_fu_1750_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(apl1_3_fu_1742_p3),32));
|
1897
|
+
|
1898
|
+
apl1_3_fu_1742_p3 <=
|
1899
|
+
apl1_2_fu_1726_p2 when (tmp_31_i_fu_1736_p2(0) = '1') else
|
1900
|
+
tmp_51_fu_1722_p1;
|
1901
|
+
apl1_4_fu_2488_p2 <= std_logic_vector(unsigned(apl_v_i1_cast_cast_fu_2481_p3) + unsigned(tmp_29_i1_fu_2471_p4));
|
1902
|
+
apl1_6_cast_fu_2568_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(apl1_6_fu_2562_p2),32));
|
1903
|
+
|
1904
|
+
apl1_6_fu_2562_p2 <= std_logic_vector(unsigned(ap_const_lv16_0) - unsigned(apl1_9_cast8_fu_2538_p1));
|
1905
|
+
apl1_7_cast_fu_2586_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(apl1_7_fu_2578_p3),32));
|
1906
|
+
|
1907
|
+
apl1_7_fu_2578_p3 <=
|
1908
|
+
apl1_6_fu_2562_p2 when (tmp_31_i1_fu_2572_p2(0) = '1') else
|
1909
|
+
tmp_64_fu_2558_p1;
|
1910
|
+
apl1_8_cast1_fu_1702_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(apl1_8_fu_1697_p2),16));
|
1911
|
+
apl1_8_cast_fu_1706_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(apl1_8_fu_1697_p2),32));
|
1912
|
+
apl1_8_fu_1697_p2 <= std_logic_vector(unsigned(ap_const_lv15_3C00) - unsigned(apl2_assign_reg_2965));
|
1913
|
+
apl1_9_cast8_fu_2538_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(apl1_9_fu_2533_p2),16));
|
1914
|
+
apl1_9_cast_fu_2542_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(apl1_9_fu_2533_p2),32));
|
1915
|
+
apl1_9_fu_2533_p2 <= std_logic_vector(unsigned(ap_const_lv15_3C00) - unsigned(apl2_assign_1_reg_3117));
|
1916
|
+
apl1_fu_1652_p2 <= std_logic_vector(unsigned(apl_v_i_cast_cast_fu_1645_p3) + unsigned(tmp_29_i_fu_1635_p4));
|
1917
|
+
apl2_1_fu_2447_p2 <= std_logic_vector(signed(tmp7_cast_fu_2443_p1) + signed(tmp_4_i1_fu_2419_p4));
|
1918
|
+
apl2_assign_1_cast_fu_2524_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(apl2_assign_1_reg_3117),32));
|
1919
|
+
|
1920
|
+
apl2_assign_1_fu_2516_p3 <=
|
1921
|
+
ap_const_lv15_5000 when (tmp_24_i1_fu_2510_p2(0) = '1') else
|
1922
|
+
tmp_63_fu_2506_p1;
|
1923
|
+
apl2_assign_cast_fu_1688_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(apl2_assign_reg_2965),32));
|
1924
|
+
|
1925
|
+
apl2_assign_fu_1680_p3 <=
|
1926
|
+
ap_const_lv15_5000 when (tmp_24_i_fu_1674_p2(0) = '1') else
|
1927
|
+
tmp_50_fu_1670_p1;
|
1928
|
+
apl2_fu_1611_p2 <= std_logic_vector(signed(tmp6_cast_fu_1607_p1) + signed(tmp_4_i_fu_1583_p4));
|
1929
|
+
apl_v_i1_cast_cast_fu_2481_p3 <=
|
1930
|
+
ap_const_lv32_FFFFFF40 when (tmp_59_reg_3094(0) = '1') else
|
1931
|
+
ap_const_lv32_C0;
|
1932
|
+
apl_v_i_cast_cast_fu_1645_p3 <=
|
1933
|
+
ap_const_lv32_FFFFFF40 when (tmp_38_reg_2942(0) = '1') else
|
1934
|
+
ap_const_lv32_C0;
|
1935
|
+
decis_fu_2004_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_11_fu_1995_p4),32));
|
1936
|
+
|
1937
|
+
decis_levl_address0 <= tmp_36_i_fu_1179_p1(5 - 1 downto 0);
|
1938
|
+
|
1939
|
+
decis_levl_ce0_assign_proc : process(ap_CS_fsm_state19)
|
1940
|
+
begin
|
1941
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state19)) then
|
1942
|
+
decis_levl_ce0 <= ap_const_logic_1;
|
1943
|
+
else
|
1944
|
+
decis_levl_ce0 <= ap_const_logic_0;
|
1945
|
+
end if;
|
1946
|
+
end process;
|
1947
|
+
|
1948
|
+
|
1949
|
+
delay_bph_address0_assign_proc : process(ap_CS_fsm_state28, ap_CS_fsm_state31, ap_CS_fsm_state39, grp_upzero_fu_653_bli_address0, p_01_rec_i1_cast_fu_1810_p1)
|
1950
|
+
begin
|
1951
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state31)) then
|
1952
|
+
delay_bph_address0 <= p_01_rec_i1_cast_fu_1810_p1(3 - 1 downto 0);
|
1953
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state28)) then
|
1954
|
+
delay_bph_address0 <= ap_const_lv3_0;
|
1955
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state39)) then
|
1956
|
+
delay_bph_address0 <= grp_upzero_fu_653_bli_address0;
|
1957
|
+
else
|
1958
|
+
delay_bph_address0 <= "XXX";
|
1959
|
+
end if;
|
1960
|
+
end process;
|
1961
|
+
|
1962
|
+
|
1963
|
+
delay_bph_ce0_assign_proc : process(ap_CS_fsm_state28, ap_CS_fsm_state31, ap_CS_fsm_state39, grp_upzero_fu_653_bli_ce0)
|
1964
|
+
begin
|
1965
|
+
if (((ap_const_logic_1 = ap_CS_fsm_state31) or (ap_const_logic_1 = ap_CS_fsm_state28))) then
|
1966
|
+
delay_bph_ce0 <= ap_const_logic_1;
|
1967
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state39)) then
|
1968
|
+
delay_bph_ce0 <= grp_upzero_fu_653_bli_ce0;
|
1969
|
+
else
|
1970
|
+
delay_bph_ce0 <= ap_const_logic_0;
|
1971
|
+
end if;
|
1972
|
+
end process;
|
1973
|
+
|
1974
|
+
|
1975
|
+
delay_bph_we0_assign_proc : process(ap_CS_fsm_state39, grp_upzero_fu_653_bli_we0)
|
1976
|
+
begin
|
1977
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state39)) then
|
1978
|
+
delay_bph_we0 <= grp_upzero_fu_653_bli_we0;
|
1979
|
+
else
|
1980
|
+
delay_bph_we0 <= ap_const_logic_0;
|
1981
|
+
end if;
|
1982
|
+
end process;
|
1983
|
+
|
1984
|
+
|
1985
|
+
delay_bpl_address0_assign_proc : process(ap_CS_fsm_state10, ap_CS_fsm_state14, ap_CS_fsm_state27, grp_upzero_fu_653_bli_address0, p_01_rec_i_cast_fu_1004_p1)
|
1986
|
+
begin
|
1987
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state14)) then
|
1988
|
+
delay_bpl_address0 <= p_01_rec_i_cast_fu_1004_p1(3 - 1 downto 0);
|
1989
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state10)) then
|
1990
|
+
delay_bpl_address0 <= ap_const_lv3_0;
|
1991
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state27)) then
|
1992
|
+
delay_bpl_address0 <= grp_upzero_fu_653_bli_address0;
|
1993
|
+
else
|
1994
|
+
delay_bpl_address0 <= "XXX";
|
1995
|
+
end if;
|
1996
|
+
end process;
|
1997
|
+
|
1998
|
+
|
1999
|
+
delay_bpl_ce0_assign_proc : process(ap_CS_fsm_state10, ap_CS_fsm_state14, ap_CS_fsm_state27, grp_upzero_fu_653_bli_ce0)
|
2000
|
+
begin
|
2001
|
+
if (((ap_const_logic_1 = ap_CS_fsm_state14) or (ap_const_logic_1 = ap_CS_fsm_state10))) then
|
2002
|
+
delay_bpl_ce0 <= ap_const_logic_1;
|
2003
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state27)) then
|
2004
|
+
delay_bpl_ce0 <= grp_upzero_fu_653_bli_ce0;
|
2005
|
+
else
|
2006
|
+
delay_bpl_ce0 <= ap_const_logic_0;
|
2007
|
+
end if;
|
2008
|
+
end process;
|
2009
|
+
|
2010
|
+
|
2011
|
+
delay_bpl_we0_assign_proc : process(ap_CS_fsm_state27, grp_upzero_fu_653_bli_we0)
|
2012
|
+
begin
|
2013
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state27)) then
|
2014
|
+
delay_bpl_we0 <= grp_upzero_fu_653_bli_we0;
|
2015
|
+
else
|
2016
|
+
delay_bpl_we0 <= ap_const_logic_0;
|
2017
|
+
end if;
|
2018
|
+
end process;
|
2019
|
+
|
2020
|
+
|
2021
|
+
delay_dhx_address0_assign_proc : process(ap_CS_fsm_state28, ap_CS_fsm_state31, ap_CS_fsm_state39, grp_upzero_fu_653_dlti_address0, p_01_rec_i1_cast_fu_1810_p1)
|
2022
|
+
begin
|
2023
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state31)) then
|
2024
|
+
delay_dhx_address0 <= p_01_rec_i1_cast_fu_1810_p1(3 - 1 downto 0);
|
2025
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state28)) then
|
2026
|
+
delay_dhx_address0 <= ap_const_lv3_0;
|
2027
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state39)) then
|
2028
|
+
delay_dhx_address0 <= grp_upzero_fu_653_dlti_address0;
|
2029
|
+
else
|
2030
|
+
delay_dhx_address0 <= "XXX";
|
2031
|
+
end if;
|
2032
|
+
end process;
|
2033
|
+
|
2034
|
+
|
2035
|
+
delay_dhx_ce0_assign_proc : process(ap_CS_fsm_state28, ap_CS_fsm_state31, ap_CS_fsm_state39, grp_upzero_fu_653_dlti_ce0)
|
2036
|
+
begin
|
2037
|
+
if (((ap_const_logic_1 = ap_CS_fsm_state31) or (ap_const_logic_1 = ap_CS_fsm_state28))) then
|
2038
|
+
delay_dhx_ce0 <= ap_const_logic_1;
|
2039
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state39)) then
|
2040
|
+
delay_dhx_ce0 <= grp_upzero_fu_653_dlti_ce0;
|
2041
|
+
else
|
2042
|
+
delay_dhx_ce0 <= ap_const_logic_0;
|
2043
|
+
end if;
|
2044
|
+
end process;
|
2045
|
+
|
2046
|
+
|
2047
|
+
delay_dhx_ce1_assign_proc : process(ap_CS_fsm_state39, grp_upzero_fu_653_dlti_ce1)
|
2048
|
+
begin
|
2049
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state39)) then
|
2050
|
+
delay_dhx_ce1 <= grp_upzero_fu_653_dlti_ce1;
|
2051
|
+
else
|
2052
|
+
delay_dhx_ce1 <= ap_const_logic_0;
|
2053
|
+
end if;
|
2054
|
+
end process;
|
2055
|
+
|
2056
|
+
|
2057
|
+
delay_dhx_we0_assign_proc : process(ap_CS_fsm_state39, grp_upzero_fu_653_dlti_we0)
|
2058
|
+
begin
|
2059
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state39)) then
|
2060
|
+
delay_dhx_we0 <= grp_upzero_fu_653_dlti_we0;
|
2061
|
+
else
|
2062
|
+
delay_dhx_we0 <= ap_const_logic_0;
|
2063
|
+
end if;
|
2064
|
+
end process;
|
2065
|
+
|
2066
|
+
|
2067
|
+
delay_dhx_we1_assign_proc : process(ap_CS_fsm_state39, grp_upzero_fu_653_dlti_we1)
|
2068
|
+
begin
|
2069
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state39)) then
|
2070
|
+
delay_dhx_we1 <= grp_upzero_fu_653_dlti_we1;
|
2071
|
+
else
|
2072
|
+
delay_dhx_we1 <= ap_const_logic_0;
|
2073
|
+
end if;
|
2074
|
+
end process;
|
2075
|
+
|
2076
|
+
|
2077
|
+
delay_dltx_address0_assign_proc : process(ap_CS_fsm_state10, ap_CS_fsm_state14, ap_CS_fsm_state27, grp_upzero_fu_653_dlti_address0, p_01_rec_i_cast_fu_1004_p1)
|
2078
|
+
begin
|
2079
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state14)) then
|
2080
|
+
delay_dltx_address0 <= p_01_rec_i_cast_fu_1004_p1(3 - 1 downto 0);
|
2081
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state10)) then
|
2082
|
+
delay_dltx_address0 <= ap_const_lv3_0;
|
2083
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state27)) then
|
2084
|
+
delay_dltx_address0 <= grp_upzero_fu_653_dlti_address0;
|
2085
|
+
else
|
2086
|
+
delay_dltx_address0 <= "XXX";
|
2087
|
+
end if;
|
2088
|
+
end process;
|
2089
|
+
|
2090
|
+
|
2091
|
+
delay_dltx_ce0_assign_proc : process(ap_CS_fsm_state10, ap_CS_fsm_state14, ap_CS_fsm_state27, grp_upzero_fu_653_dlti_ce0)
|
2092
|
+
begin
|
2093
|
+
if (((ap_const_logic_1 = ap_CS_fsm_state14) or (ap_const_logic_1 = ap_CS_fsm_state10))) then
|
2094
|
+
delay_dltx_ce0 <= ap_const_logic_1;
|
2095
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state27)) then
|
2096
|
+
delay_dltx_ce0 <= grp_upzero_fu_653_dlti_ce0;
|
2097
|
+
else
|
2098
|
+
delay_dltx_ce0 <= ap_const_logic_0;
|
2099
|
+
end if;
|
2100
|
+
end process;
|
2101
|
+
|
2102
|
+
|
2103
|
+
delay_dltx_ce1_assign_proc : process(ap_CS_fsm_state27, grp_upzero_fu_653_dlti_ce1)
|
2104
|
+
begin
|
2105
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state27)) then
|
2106
|
+
delay_dltx_ce1 <= grp_upzero_fu_653_dlti_ce1;
|
2107
|
+
else
|
2108
|
+
delay_dltx_ce1 <= ap_const_logic_0;
|
2109
|
+
end if;
|
2110
|
+
end process;
|
2111
|
+
|
2112
|
+
|
2113
|
+
delay_dltx_we0_assign_proc : process(ap_CS_fsm_state27, grp_upzero_fu_653_dlti_we0)
|
2114
|
+
begin
|
2115
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state27)) then
|
2116
|
+
delay_dltx_we0 <= grp_upzero_fu_653_dlti_we0;
|
2117
|
+
else
|
2118
|
+
delay_dltx_we0 <= ap_const_logic_0;
|
2119
|
+
end if;
|
2120
|
+
end process;
|
2121
|
+
|
2122
|
+
|
2123
|
+
delay_dltx_we1_assign_proc : process(ap_CS_fsm_state27, grp_upzero_fu_653_dlti_we1)
|
2124
|
+
begin
|
2125
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state27)) then
|
2126
|
+
delay_dltx_we1 <= grp_upzero_fu_653_dlti_we1;
|
2127
|
+
else
|
2128
|
+
delay_dltx_we1 <= ap_const_logic_0;
|
2129
|
+
end if;
|
2130
|
+
end process;
|
2131
|
+
|
2132
|
+
el_assign_fu_1126_p2 <= std_logic_vector(unsigned(tmp_3_reg_2780) - unsigned(tmp_13_fu_1115_p2));
|
2133
|
+
exitcond2_fu_746_p2 <= "1" when (i_reg_537 = ap_const_lv4_A) else "0";
|
2134
|
+
exitcond4_fu_1816_p2 <= "1" when (dlt_pn_rec_i1_reg_633 = ap_const_lv3_5) else "0";
|
2135
|
+
exitcond5_fu_1010_p2 <= "1" when (dlt_pn_rec_i_reg_600 = ap_const_lv3_5) else "0";
|
2136
|
+
exitcond_fu_934_p2 <= "1" when (i_1_reg_579 = ap_const_lv5_16) else "0";
|
2137
|
+
grp_upzero_fu_653_ap_start <= ap_reg_grp_upzero_fu_653_ap_start;
|
2138
|
+
|
2139
|
+
grp_upzero_fu_653_bli_q0_assign_proc : process(delay_bpl_q0, delay_bph_q0, ap_CS_fsm_state27, ap_CS_fsm_state39)
|
2140
|
+
begin
|
2141
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state39)) then
|
2142
|
+
grp_upzero_fu_653_bli_q0 <= delay_bph_q0;
|
2143
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state27)) then
|
2144
|
+
grp_upzero_fu_653_bli_q0 <= delay_bpl_q0;
|
2145
|
+
else
|
2146
|
+
grp_upzero_fu_653_bli_q0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
|
2147
|
+
end if;
|
2148
|
+
end process;
|
2149
|
+
|
2150
|
+
|
2151
|
+
grp_upzero_fu_653_dlt_assign_proc : process(tmp_19_reg_2926, ap_CS_fsm_state27, tmp_36_reg_3068, ap_CS_fsm_state39)
|
2152
|
+
begin
|
2153
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state39)) then
|
2154
|
+
grp_upzero_fu_653_dlt <= tmp_36_reg_3068;
|
2155
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state27)) then
|
2156
|
+
grp_upzero_fu_653_dlt <= tmp_19_reg_2926;
|
2157
|
+
else
|
2158
|
+
grp_upzero_fu_653_dlt <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
|
2159
|
+
end if;
|
2160
|
+
end process;
|
2161
|
+
|
2162
|
+
|
2163
|
+
grp_upzero_fu_653_dlti_q0_assign_proc : process(delay_dltx_q0, delay_dhx_q0, ap_CS_fsm_state27, ap_CS_fsm_state39)
|
2164
|
+
begin
|
2165
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state39)) then
|
2166
|
+
grp_upzero_fu_653_dlti_q0 <= delay_dhx_q0;
|
2167
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state27)) then
|
2168
|
+
grp_upzero_fu_653_dlti_q0 <= delay_dltx_q0;
|
2169
|
+
else
|
2170
|
+
grp_upzero_fu_653_dlti_q0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
|
2171
|
+
end if;
|
2172
|
+
end process;
|
2173
|
+
|
2174
|
+
|
2175
|
+
grp_upzero_fu_653_dlti_q1_assign_proc : process(delay_dltx_q1, delay_dhx_q1, ap_CS_fsm_state27, ap_CS_fsm_state39)
|
2176
|
+
begin
|
2177
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state39)) then
|
2178
|
+
grp_upzero_fu_653_dlti_q1 <= delay_dhx_q1;
|
2179
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state27)) then
|
2180
|
+
grp_upzero_fu_653_dlti_q1 <= delay_dltx_q1;
|
2181
|
+
else
|
2182
|
+
grp_upzero_fu_653_dlti_q1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
|
2183
|
+
end if;
|
2184
|
+
end process;
|
2185
|
+
|
2186
|
+
h_address0 <= tqmf_ptr_0_rec_cast_fu_740_p1(5 - 1 downto 0);
|
2187
|
+
h_address1 <= tqmf_ptr_0_sum1_cast_fu_764_p1(5 - 1 downto 0);
|
2188
|
+
|
2189
|
+
h_ce0_assign_proc : process(ap_CS_fsm_state4)
|
2190
|
+
begin
|
2191
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state4)) then
|
2192
|
+
h_ce0 <= ap_const_logic_1;
|
2193
|
+
else
|
2194
|
+
h_ce0 <= ap_const_logic_0;
|
2195
|
+
end if;
|
2196
|
+
end process;
|
2197
|
+
|
2198
|
+
|
2199
|
+
h_ce1_assign_proc : process(ap_CS_fsm_state4)
|
2200
|
+
begin
|
2201
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state4)) then
|
2202
|
+
h_ce1 <= ap_const_logic_1;
|
2203
|
+
else
|
2204
|
+
h_ce1 <= ap_const_logic_0;
|
2205
|
+
end if;
|
2206
|
+
end process;
|
2207
|
+
|
2208
|
+
i_4_fu_752_p2 <= std_logic_vector(unsigned(i_reg_537) + unsigned(ap_const_lv4_1));
|
2209
|
+
i_5_fu_940_p2 <= std_logic_vector(unsigned(i_1_reg_579) + unsigned(ap_const_lv5_1));
|
2210
|
+
il_assign_cast_fu_1219_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(ril_2_fu_1212_p3),32));
|
2211
|
+
|
2212
|
+
ilb_table_address0_assign_proc : process(ap_CS_fsm_state25, ap_CS_fsm_state38, tmp_i3_fu_1393_p1, tmp_i1_fu_2229_p1)
|
2213
|
+
begin
|
2214
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state38)) then
|
2215
|
+
ilb_table_address0 <= tmp_i1_fu_2229_p1(5 - 1 downto 0);
|
2216
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state25)) then
|
2217
|
+
ilb_table_address0 <= tmp_i3_fu_1393_p1(5 - 1 downto 0);
|
2218
|
+
else
|
2219
|
+
ilb_table_address0 <= "XXXXX";
|
2220
|
+
end if;
|
2221
|
+
end process;
|
2222
|
+
|
2223
|
+
|
2224
|
+
ilb_table_ce0_assign_proc : process(ap_CS_fsm_state25, ap_CS_fsm_state38)
|
2225
|
+
begin
|
2226
|
+
if (((ap_const_logic_1 = ap_CS_fsm_state38) or (ap_const_logic_1 = ap_CS_fsm_state25))) then
|
2227
|
+
ilb_table_ce0 <= ap_const_logic_1;
|
2228
|
+
else
|
2229
|
+
ilb_table_ce0 <= ap_const_logic_0;
|
2230
|
+
end if;
|
2231
|
+
end process;
|
2232
|
+
|
2233
|
+
m_1_fu_2016_p2 <= std_logic_vector(unsigned(ap_const_lv32_0) - unsigned(n_assign_2_fu_1951_p2));
|
2234
|
+
m_fu_1149_p2 <= std_logic_vector(unsigned(ap_const_lv32_0) - unsigned(el_assign_fu_1126_p2));
|
2235
|
+
mil_fu_1173_p2 <= std_logic_vector(unsigned(mil_i_reg_611) + unsigned(ap_const_lv5_1));
|
2236
|
+
n_assign_1_fu_1155_p3 <=
|
2237
|
+
m_fu_1149_p2 when (tmp_27_fu_1141_p3(0) = '1') else
|
2238
|
+
el_assign_fu_1126_p2;
|
2239
|
+
n_assign_2_fu_1951_p2 <= std_logic_vector(unsigned(xh) - unsigned(tmp_26_reg_3029));
|
2240
|
+
n_assign_3_fu_2022_p3 <=
|
2241
|
+
m_1_fu_2016_p2 when (tmp_55_fu_2008_p3(0) = '1') else
|
2242
|
+
n_assign_2_fu_1951_p2;
|
2243
|
+
nbh_assign_1_cast_fu_2161_p2 <= std_logic_vector(unsigned(tmp_39_fu_2141_p4) + unsigned(tmp_56_fu_2151_p1));
|
2244
|
+
nbh_assign_1_fu_2155_p2 <= std_logic_vector(unsigned(tmp_37_fu_2127_p6) + unsigned(wd_fu_2117_p4));
|
2245
|
+
nbl_assign_1_cast_fu_1301_p2 <= std_logic_vector(unsigned(tmp_6_fu_1281_p4) + unsigned(tmp_7_fu_1291_p1));
|
2246
|
+
nbl_assign_1_fu_1295_p2 <= std_logic_vector(signed(wl_code_table_load_c_fu_1277_p1) + signed(tmp_42_i_fu_1267_p4));
|
2247
|
+
nbl_assign_2_cast_fu_1363_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(nbl_assign_2_fu_1357_p3),32));
|
2248
|
+
nbl_assign_2_fu_1357_p3 <=
|
2249
|
+
ap_const_lv15_4800 when (tmp_45_i_reg_2921(0) = '1') else
|
2250
|
+
tmp_30_reg_2916;
|
2251
|
+
nbl_assign_3_cast_fu_2199_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(nbl_assign_3_fu_2193_p3),32));
|
2252
|
+
nbl_assign_3_fu_2193_p3 <=
|
2253
|
+
ap_const_lv15_5800 when (tmp_48_i_reg_3079(0) = '1') else
|
2254
|
+
tmp_58_reg_3074;
|
2255
|
+
p_01_rec_i1_cast_fu_1810_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(p_01_rec_i1_fu_1804_p2),64));
|
2256
|
+
p_01_rec_i1_fu_1804_p2 <= std_logic_vector(unsigned(dlt_pn_rec_i1_reg_633) + unsigned(ap_const_lv3_1));
|
2257
|
+
p_01_rec_i_cast_fu_1004_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(p_01_rec_i_fu_998_p2),64));
|
2258
|
+
p_01_rec_i_fu_998_p2 <= std_logic_vector(unsigned(dlt_pn_rec_i_reg_600) + unsigned(ap_const_lv3_1));
|
2259
|
+
p_i1_fu_1663_p3 <=
|
2260
|
+
ap_const_lv32_3000 when (tmp_23_i_fu_1658_p2(0) = '1') else
|
2261
|
+
apl2_reg_2953;
|
2262
|
+
p_i2_fu_2175_p3 <=
|
2263
|
+
ap_const_lv31_0 when (tmp_57_fu_2167_p3(0) = '1') else
|
2264
|
+
nbh_assign_1_cast_fu_2161_p2;
|
2265
|
+
p_i3_fu_2499_p3 <=
|
2266
|
+
ap_const_lv32_3000 when (tmp_23_i1_fu_2494_p2(0) = '1') else
|
2267
|
+
apl2_1_reg_3105;
|
2268
|
+
p_i_fu_1315_p3 <=
|
2269
|
+
ap_const_lv31_0 when (tmp_28_fu_1307_p3(0) = '1') else
|
2270
|
+
nbl_assign_1_cast_fu_1301_p2;
|
2271
|
+
p_shl1_cast_fu_712_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(p_shl1_fu_704_p3),37));
|
2272
|
+
|
2273
|
+
p_shl1_fu_704_p3 <= (tqmf_q0 & ap_const_lv2_0);
|
2274
|
+
p_shl2_cast_fu_860_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(p_shl2_fu_852_p3),37));
|
2275
|
+
|
2276
|
+
p_shl2_fu_852_p3 <= (tqmf_q0 & ap_const_lv4_0);
|
2277
|
+
p_shl3_cast_fu_872_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(p_shl3_fu_864_p3),37));
|
2278
|
+
|
2279
|
+
p_shl3_fu_864_p3 <= (tqmf_q0 & ap_const_lv2_0);
|
2280
|
+
p_shl_cast_fu_700_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(p_shl_fu_692_p3),37));
|
2281
|
+
|
2282
|
+
p_shl_fu_692_p3 <= (tqmf_q0 & ap_const_lv4_0);
|
2283
|
+
p_shl_i1_cast_fu_1552_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(p_shl_i1_fu_1544_p3),40));
|
2284
|
+
p_shl_i1_fu_1544_p3 <= (al2 & ap_const_lv7_0);
|
2285
|
+
p_shl_i2_cast_fu_1625_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(p_shl_i2_fu_1617_p3),41));
|
2286
|
+
p_shl_i2_fu_1617_p3 <= (al1 & ap_const_lv8_0);
|
2287
|
+
p_shl_i3_fu_2103_p3 <= (nbh & ap_const_lv7_0);
|
2288
|
+
p_shl_i4_cast_fu_2388_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(p_shl_i4_fu_2380_p3),40));
|
2289
|
+
p_shl_i4_fu_2380_p3 <= (ah2 & ap_const_lv7_0);
|
2290
|
+
p_shl_i5_cast_fu_2461_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(p_shl_i5_fu_2453_p3),41));
|
2291
|
+
p_shl_i5_fu_2453_p3 <= (ah1 & ap_const_lv8_0);
|
2292
|
+
p_shl_i_fu_1253_p3 <= (nbl & ap_const_lv7_0);
|
2293
|
+
p_sum_cast_fu_912_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(p_sum_fu_906_p2),64));
|
2294
|
+
p_sum_fu_906_p2 <= std_logic_vector(unsigned(tqmf_ptr_0_pn_rec_reg_568) + unsigned(ap_const_lv6_17));
|
2295
|
+
phitmp_fu_770_p2 <= std_logic_vector(unsigned(tqmf_ptr_0_rec_reg_526) + unsigned(ap_const_lv5_2));
|
2296
|
+
pl_1_fu_1034_p0 <= al1;
|
2297
|
+
pl_1_fu_1034_p1 <= tmp_20_fu_1020_p2;
|
2298
|
+
pl_1_fu_1034_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(pl_1_fu_1034_p0) * signed(pl_1_fu_1034_p1))), 64));
|
2299
|
+
pl_2_fu_1079_p2 <= std_logic_vector(unsigned(tmp_56_i_reg_2823) + unsigned(pl_1_reg_2818));
|
2300
|
+
pl_4_fu_1840_p0 <= tmp_52_fu_1826_p2;
|
2301
|
+
pl_4_fu_1840_p1 <= ah1;
|
2302
|
+
pl_4_fu_1840_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(pl_4_fu_1840_p0) * signed(pl_4_fu_1840_p1))), 64));
|
2303
|
+
pl_5_fu_1901_p2 <= std_logic_vector(unsigned(pl_4_reg_3004) + unsigned(tmp_56_i1_reg_3009));
|
2304
|
+
qq4_code4_table_address0 <= tmp_16_fu_1239_p1(4 - 1 downto 0);
|
2305
|
+
|
2306
|
+
qq4_code4_table_ce0_assign_proc : process(ap_CS_fsm_state23)
|
2307
|
+
begin
|
2308
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state23)) then
|
2309
|
+
qq4_code4_table_ce0 <= ap_const_logic_1;
|
2310
|
+
else
|
2311
|
+
qq4_code4_table_ce0 <= ap_const_logic_0;
|
2312
|
+
end if;
|
2313
|
+
end process;
|
2314
|
+
|
2315
|
+
quant26bt_neg_address0 <= tmp_41_i_fu_1206_p1(5 - 1 downto 0);
|
2316
|
+
|
2317
|
+
quant26bt_neg_ce0_assign_proc : process(ap_CS_fsm_state22)
|
2318
|
+
begin
|
2319
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state22)) then
|
2320
|
+
quant26bt_neg_ce0 <= ap_const_logic_1;
|
2321
|
+
else
|
2322
|
+
quant26bt_neg_ce0 <= ap_const_logic_0;
|
2323
|
+
end if;
|
2324
|
+
end process;
|
2325
|
+
|
2326
|
+
quant26bt_pos_address0 <= tmp_41_i_fu_1206_p1(5 - 1 downto 0);
|
2327
|
+
|
2328
|
+
quant26bt_pos_ce0_assign_proc : process(ap_CS_fsm_state22)
|
2329
|
+
begin
|
2330
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state22)) then
|
2331
|
+
quant26bt_pos_ce0 <= ap_const_logic_1;
|
2332
|
+
else
|
2333
|
+
quant26bt_pos_ce0 <= ap_const_logic_0;
|
2334
|
+
end if;
|
2335
|
+
end process;
|
2336
|
+
|
2337
|
+
ril_2_fu_1212_p3 <=
|
2338
|
+
quant26bt_neg_q0 when (tmp_27_reg_2848(0) = '1') else
|
2339
|
+
quant26bt_pos_q0;
|
2340
|
+
tmp6_cast_fu_1607_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp6_fu_1601_p2),32));
|
2341
|
+
|
2342
|
+
tmp6_fu_1601_p2 <= std_logic_vector(signed(tmp_3_i_cast_fu_1579_p1) + signed(tmp_5_i_cast_cast_fu_1593_p3));
|
2343
|
+
tmp7_cast_fu_2443_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp7_fu_2437_p2),32));
|
2344
|
+
|
2345
|
+
tmp7_fu_2437_p2 <= std_logic_vector(signed(tmp_3_i1_cast_fu_2415_p1) + signed(tmp_5_i1_cast_cast_fu_2429_p3));
|
2346
|
+
tmp_10_fu_966_p2 <= std_logic_vector(unsigned(xa_1_cast_reg_2742) - unsigned(xb_1_cast_reg_2752));
|
2347
|
+
tmp_11_fu_1995_p4 <= tmp_31_reg_3039(42 downto 12);
|
2348
|
+
tmp_13_fu_1115_p2 <= std_logic_vector(unsigned(tmp_58_i_reg_2838) + unsigned(tmp_50_i_fu_1099_p4));
|
2349
|
+
tmp_14_fu_1229_p4 <= ril_2_fu_1212_p3(5 downto 2);
|
2350
|
+
tmp_15_cast_fu_830_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_s_reg_2731),50));
|
2351
|
+
|
2352
|
+
tmp_15_fu_836_p1 <= xa1_reg_548(47 - 1 downto 0);
|
2353
|
+
tmp_15_i1_fu_2297_p0 <= ph1;
|
2354
|
+
tmp_15_i1_fu_2297_p1 <= tmp_i10_fu_2289_p1(32 - 1 downto 0);
|
2355
|
+
tmp_15_i1_fu_2297_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_15_i1_fu_2297_p0) * signed(tmp_15_i1_fu_2297_p1))), 64));
|
2356
|
+
tmp_15_i_fu_1461_p0 <= plt1;
|
2357
|
+
tmp_15_i_fu_1461_p1 <= tmp_i4_fu_1453_p1(32 - 1 downto 0);
|
2358
|
+
tmp_15_i_fu_1461_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_15_i_fu_1461_p0) * signed(tmp_15_i_fu_1461_p1))), 64));
|
2359
|
+
tmp_16_fu_1239_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_14_fu_1229_p4),64));
|
2360
|
+
tmp_17_fu_890_p1 <= xb1_reg_558(47 - 1 downto 0);
|
2361
|
+
tmp_18_fu_1336_p0 <= qq4_code4_table_load_reg_2911;
|
2362
|
+
tmp_18_fu_1336_p1 <= tmp_i1_cast_reg_2858(32 - 1 downto 0);
|
2363
|
+
tmp_18_fu_1336_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_18_fu_1336_p0) * signed(tmp_18_fu_1336_p1))), 47));
|
2364
|
+
tmp_19_i1_fu_2315_p0 <= ph2;
|
2365
|
+
tmp_19_i1_fu_2315_p1 <= tmp_i10_fu_2289_p1(32 - 1 downto 0);
|
2366
|
+
tmp_19_i1_fu_2315_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_19_i1_fu_2315_p0) * signed(tmp_19_i1_fu_2315_p1))), 64));
|
2367
|
+
tmp_19_i_fu_1479_p0 <= plt2;
|
2368
|
+
tmp_19_i_fu_1479_p1 <= tmp_i4_fu_1453_p1(32 - 1 downto 0);
|
2369
|
+
tmp_19_i_fu_1479_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_19_i_fu_1479_p0) * signed(tmp_19_i_fu_1479_p1))), 64));
|
2370
|
+
tmp_1_fu_796_p0 <= h_ptr_load_reg_2706;
|
2371
|
+
tmp_1_fu_796_p1 <= reg_688;
|
2372
|
+
tmp_1_fu_796_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_1_fu_796_p0) * signed(tmp_1_fu_796_p1))), 46));
|
2373
|
+
tmp_20_fu_1020_p2 <= std_logic_vector(shift_left(unsigned(rlt1),to_integer(unsigned('0' & ap_const_lv32_1(31-1 downto 0)))));
|
2374
|
+
tmp_20_i1_cast9_fu_2376_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(ah2),40));
|
2375
|
+
|
2376
|
+
tmp_20_i_cast_fu_1540_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(al2),40));
|
2377
|
+
|
2378
|
+
tmp_21_fu_1431_p2 <= std_logic_vector(unsigned(tmp_50_i_reg_2843) + unsigned(tmp_19_reg_2926));
|
2379
|
+
tmp_21_i1_fu_2392_p2 <= std_logic_vector(unsigned(p_shl_i4_cast_fu_2388_p1) - unsigned(tmp_20_i1_cast9_fu_2376_p1));
|
2380
|
+
tmp_21_i_fu_1556_p2 <= std_logic_vector(unsigned(p_shl_i1_cast_fu_1552_p1) - unsigned(tmp_20_i_cast_fu_1540_p1));
|
2381
|
+
tmp_22_cast_fu_882_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_8_fu_876_p2),50));
|
2382
|
+
|
2383
|
+
tmp_22_fu_1519_p4 <= al1(31 downto 5);
|
2384
|
+
tmp_23_fu_1040_p2 <= std_logic_vector(shift_left(unsigned(rlt2),to_integer(unsigned('0' & ap_const_lv32_1(31-1 downto 0)))));
|
2385
|
+
tmp_23_i1_fu_2494_p2 <= "1" when (signed(apl2_1_reg_3105) > signed(ap_const_lv32_3000)) else "0";
|
2386
|
+
tmp_23_i_fu_1658_p2 <= "1" when (signed(apl2_reg_2953) > signed(ap_const_lv32_3000)) else "0";
|
2387
|
+
tmp_24_fu_1529_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_22_fu_1519_p4),28));
|
2388
|
+
|
2389
|
+
tmp_24_i1_fu_2510_p2 <= "1" when (signed(p_i3_fu_2499_p3) < signed(ap_const_lv32_FFFFD000)) else "0";
|
2390
|
+
tmp_24_i_fu_1674_p2 <= "1" when (signed(p_i1_fu_1663_p3) < signed(ap_const_lv32_FFFFD000)) else "0";
|
2391
|
+
tmp_25_cast_fu_802_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_9_reg_2711),50));
|
2392
|
+
|
2393
|
+
tmp_25_fu_1768_p2 <= std_logic_vector(unsigned(dlt) + unsigned(sl));
|
2394
|
+
tmp_26_fu_1921_p2 <= std_logic_vector(unsigned(tmp_50_i1_fu_1885_p4) + unsigned(tmp_58_i1_fu_1905_p4));
|
2395
|
+
tmp_27_fu_1141_p3 <= el_assign_fu_1126_p2(31 downto 31);
|
2396
|
+
tmp_28_cast_fu_811_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_1_reg_2716),50));
|
2397
|
+
|
2398
|
+
tmp_28_fu_1307_p3 <= nbl_assign_1_fu_1295_p2(31 downto 31);
|
2399
|
+
tmp_29_fu_1970_p3 <=
|
2400
|
+
ap_const_lv2_1 when (tmp_54_fu_1962_p3(0) = '1') else
|
2401
|
+
ap_const_lv2_3;
|
2402
|
+
tmp_29_i1_fu_2471_p4 <= tmp_i11_fu_2465_p2(39 downto 8);
|
2403
|
+
tmp_29_i_fu_1635_p4 <= tmp_i5_fu_1629_p2(39 downto 8);
|
2404
|
+
tmp_2_fu_886_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_8_fu_876_p2),47));
|
2405
|
+
|
2406
|
+
tmp_30_fu_1323_p1 <= p_i_fu_1315_p3(15 - 1 downto 0);
|
2407
|
+
tmp_30_i1_fu_2546_p2 <= "1" when (signed(apl1_4_reg_3111) > signed(apl1_9_cast_fu_2542_p1)) else "0";
|
2408
|
+
tmp_30_i_fu_1710_p2 <= "1" when (signed(apl1_reg_2959) > signed(apl1_8_cast_fu_1706_p1)) else "0";
|
2409
|
+
tmp_31_fu_1941_p1 <= deth;
|
2410
|
+
tmp_31_fu_1941_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed('0' &ap_const_lv43_234) * signed(tmp_31_fu_1941_p1))), 43));
|
2411
|
+
tmp_31_i1_fu_2572_p2 <= "1" when (signed(wd3_0_apl1_i1_fu_2551_p3) < signed(apl1_6_cast_fu_2568_p1)) else "0";
|
2412
|
+
tmp_31_i_fu_1736_p2 <= "1" when (signed(wd3_0_apl1_i_fu_1715_p3) < signed(apl1_2_cast_fu_1732_p1)) else "0";
|
2413
|
+
tmp_32_fu_2030_p2 <= "1" when (signed(n_assign_3_fu_2022_p3) > signed(decis_fu_2004_p1)) else "0";
|
2414
|
+
tmp_33_fu_2036_p3 <=
|
2415
|
+
ap_const_lv2_0 when (tmp_54_reg_3044(0) = '1') else
|
2416
|
+
ap_const_lv2_2;
|
2417
|
+
tmp_33_i1_cast_cast_fu_2249_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_33_i1_fu_2244_p2),12));
|
2418
|
+
tmp_33_i1_fu_2244_p2 <= std_logic_vector(signed(ap_const_lv4_B) - signed(wd2_4_cast_reg_3084));
|
2419
|
+
tmp_33_i_cast_cast_fu_1403_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_33_i_fu_1398_p2),12));
|
2420
|
+
tmp_33_i_fu_1398_p2 <= std_logic_vector(signed(ap_const_lv4_9) - signed(wd2_cast_reg_2932));
|
2421
|
+
tmp_34_i1_cast_fu_2267_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_34_i1_fu_2259_p3),32));
|
2422
|
+
tmp_34_i1_fu_2259_p3 <= (wd3_2_fu_2253_p2 & ap_const_lv3_0);
|
2423
|
+
tmp_34_i_cast_fu_1421_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_34_i_fu_1413_p3),32));
|
2424
|
+
tmp_34_i_fu_1413_p3 <= (wd3_fu_1407_p2 & ap_const_lv3_0);
|
2425
|
+
tmp_35_fu_2074_p0 <= tmp_47_cast1_reg_3055(32 - 1 downto 0);
|
2426
|
+
tmp_35_fu_2074_p1 <= tmp_34_fu_2056_p6;
|
2427
|
+
tmp_35_fu_2074_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_35_fu_2074_p0) * signed(tmp_35_fu_2074_p1))), 47));
|
2428
|
+
tmp_35_i_fu_1167_p2 <= "1" when (unsigned(mil_i_reg_611) < unsigned(ap_const_lv5_1E)) else "0";
|
2429
|
+
tmp_36_i_fu_1179_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(mil_i_reg_611),64));
|
2430
|
+
tmp_38_i_fu_1187_p0 <= tmp_i1_cast_reg_2858(32 - 1 downto 0);
|
2431
|
+
tmp_38_i_fu_1187_p1 <= tmp_38_i_fu_1187_p10(15 - 1 downto 0);
|
2432
|
+
tmp_38_i_fu_1187_p10 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(decis_levl_load_reg_2878),47));
|
2433
|
+
tmp_38_i_fu_1187_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_38_i_fu_1187_p0) * signed('0' &tmp_38_i_fu_1187_p1))), 47));
|
2434
|
+
tmp_39_fu_2141_p4 <= tmp_i8_fu_2111_p2(37 downto 7);
|
2435
|
+
tmp_39_i_fu_1202_p2 <= "1" when (signed(n_assign_1_reg_2853) > signed(tmp_5_reg_2883)) else "0";
|
2436
|
+
tmp_3_i1_cast_fu_2415_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_62_fu_2408_p3),29));
|
2437
|
+
|
2438
|
+
tmp_3_i_cast_fu_1579_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_47_fu_1572_p3),29));
|
2439
|
+
|
2440
|
+
tmp_40_fu_2234_p2 <= std_logic_vector(unsigned(tmp_36_reg_3068) + unsigned(tmp_50_i1_reg_3024));
|
2441
|
+
tmp_41_fu_2355_p4 <= ah1(31 downto 5);
|
2442
|
+
tmp_41_i_fu_1206_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(mil_i_reg_611),64));
|
2443
|
+
tmp_42_fu_1533_p3 <= tmp_19_i_reg_2948(63 downto 63);
|
2444
|
+
tmp_42_i_fu_1267_p4 <= tmp_i2_fu_1261_p2(38 downto 7);
|
2445
|
+
tmp_43_fu_2365_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_41_fu_2355_p4),28));
|
2446
|
+
|
2447
|
+
tmp_44_fu_1562_p4 <= wd2_1_fu_1513_p2(34 downto 7);
|
2448
|
+
tmp_45_fu_2604_p2 <= std_logic_vector(unsigned(sh) + unsigned(dh));
|
2449
|
+
tmp_45_i_fu_1327_p2 <= "1" when (unsigned(p_i_fu_1315_p3) > unsigned(ap_const_lv31_4800)) else "0";
|
2450
|
+
tmp_46_cast_cast_fu_1978_p3 <=
|
2451
|
+
ap_const_lv32_1 when (tmp_54_fu_1962_p3(0) = '1') else
|
2452
|
+
ap_const_lv32_3;
|
2453
|
+
tmp_47_cast1_fu_1992_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(deth),47));
|
2454
|
+
|
2455
|
+
tmp_47_fu_1572_p3 <=
|
2456
|
+
tmp_24_fu_1529_p1 when (tmp_38_reg_2942(0) = '1') else
|
2457
|
+
tmp_44_fu_1562_p4;
|
2458
|
+
tmp_48_i_fu_2187_p2 <= "1" when (unsigned(p_i2_fu_2175_p3) > unsigned(ap_const_lv31_5800)) else "0";
|
2459
|
+
tmp_4_fu_946_p2 <= std_logic_vector(unsigned(xa_1_reg_2737) + unsigned(xb_1_reg_2747));
|
2460
|
+
tmp_4_i1_fu_2419_p4 <= tmp_21_i1_fu_2392_p2(38 downto 7);
|
2461
|
+
tmp_4_i_fu_1583_p4 <= tmp_21_i_fu_1556_p2(38 downto 7);
|
2462
|
+
tmp_50_fu_1670_p1 <= p_i1_fu_1663_p3(15 - 1 downto 0);
|
2463
|
+
tmp_50_i1_fu_1885_p4 <= zl1_i1_reg_623(45 downto 14);
|
2464
|
+
tmp_50_i_fu_1099_p4 <= zl1_i_reg_590(45 downto 14);
|
2465
|
+
tmp_51_cast_cast_fu_2043_p3 <=
|
2466
|
+
ap_const_lv32_0 when (tmp_54_reg_3044(0) = '1') else
|
2467
|
+
ap_const_lv32_2;
|
2468
|
+
tmp_51_fu_1722_p1 <= wd3_0_apl1_i_fu_1715_p3(16 - 1 downto 0);
|
2469
|
+
tmp_52_fu_1826_p2 <= std_logic_vector(shift_left(unsigned(rh1),to_integer(unsigned('0' & ap_const_lv32_1(31-1 downto 0)))));
|
2470
|
+
tmp_53_fu_1846_p2 <= std_logic_vector(shift_left(unsigned(rh2),to_integer(unsigned('0' & ap_const_lv32_1(31-1 downto 0)))));
|
2471
|
+
tmp_53_i1_fu_1874_p0 <= delay_dhx_q0;
|
2472
|
+
tmp_53_i1_fu_1874_p1 <= delay_bph_q0;
|
2473
|
+
tmp_53_i1_fu_1874_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_53_i1_fu_1874_p0) * signed(tmp_53_i1_fu_1874_p1))), 64));
|
2474
|
+
tmp_53_i_fu_1068_p0 <= delay_dltx_q0;
|
2475
|
+
tmp_53_i_fu_1068_p1 <= delay_bpl_q0;
|
2476
|
+
tmp_53_i_fu_1068_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_53_i_fu_1068_p0) * signed(tmp_53_i_fu_1068_p1))), 64));
|
2477
|
+
tmp_54_fu_1962_p3 <= n_assign_2_fu_1951_p2(31 downto 31);
|
2478
|
+
tmp_55_fu_2008_p3 <= n_assign_2_fu_1951_p2(31 downto 31);
|
2479
|
+
tmp_56_fu_2151_p1 <= tmp_37_fu_2127_p6(31 - 1 downto 0);
|
2480
|
+
tmp_56_i1_fu_1860_p0 <= tmp_53_fu_1846_p2;
|
2481
|
+
tmp_56_i1_fu_1860_p1 <= ah2;
|
2482
|
+
tmp_56_i1_fu_1860_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_56_i1_fu_1860_p0) * signed(tmp_56_i1_fu_1860_p1))), 64));
|
2483
|
+
tmp_56_i_fu_1054_p0 <= al2;
|
2484
|
+
tmp_56_i_fu_1054_p1 <= tmp_23_fu_1040_p2;
|
2485
|
+
tmp_56_i_fu_1054_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_56_i_fu_1054_p0) * signed(tmp_56_i_fu_1054_p1))), 64));
|
2486
|
+
tmp_57_fu_2167_p3 <= nbh_assign_1_fu_2155_p2(31 downto 31);
|
2487
|
+
tmp_58_fu_2183_p1 <= p_i2_fu_2175_p3(15 - 1 downto 0);
|
2488
|
+
tmp_58_i1_fu_1905_p4 <= pl_5_fu_1901_p2(46 downto 15);
|
2489
|
+
tmp_5_i1_cast_cast_fu_2429_p3 <=
|
2490
|
+
ap_const_lv29_1FFFFF80 when (tmp_60_fu_2369_p3(0) = '1') else
|
2491
|
+
ap_const_lv29_80;
|
2492
|
+
tmp_5_i_cast_cast_fu_1593_p3 <=
|
2493
|
+
ap_const_lv29_1FFFFF80 when (tmp_42_fu_1533_p3(0) = '1') else
|
2494
|
+
ap_const_lv29_80;
|
2495
|
+
tmp_60_fu_2369_p3 <= tmp_19_i1_reg_3100(63 downto 63);
|
2496
|
+
tmp_61_fu_2398_p4 <= wd2_3_fu_2349_p2(34 downto 7);
|
2497
|
+
tmp_62_fu_2408_p3 <=
|
2498
|
+
tmp_43_fu_2365_p1 when (tmp_59_reg_3094(0) = '1') else
|
2499
|
+
tmp_61_fu_2398_p4;
|
2500
|
+
tmp_63_fu_2506_p1 <= p_i3_fu_2499_p3(15 - 1 downto 0);
|
2501
|
+
tmp_64_fu_2558_p1 <= wd3_0_apl1_i1_fu_2551_p3(16 - 1 downto 0);
|
2502
|
+
tmp_65_fu_2636_p2 <= std_logic_vector(shift_left(unsigned(ih),to_integer(unsigned('0' & ap_const_lv32_6(31-1 downto 0)))));
|
2503
|
+
tmp_6_fu_1281_p4 <= tmp_i2_fu_1261_p2(37 downto 7);
|
2504
|
+
tmp_7_fu_1291_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(wl_code_table_q0),31));
|
2505
|
+
|
2506
|
+
tmp_8_fu_876_p2 <= std_logic_vector(signed(p_shl2_cast_fu_860_p1) - signed(p_shl3_cast_fu_872_p1));
|
2507
|
+
tmp_9_fu_783_p0 <= h_load_reg_2701;
|
2508
|
+
tmp_9_fu_783_p1 <= tqmf_q0;
|
2509
|
+
tmp_9_fu_783_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_9_fu_783_p0) * signed(tmp_9_fu_783_p1))), 46));
|
2510
|
+
tmp_fu_833_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_s_reg_2731),47));
|
2511
|
+
|
2512
|
+
tmp_i10_cast_fu_2333_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(ah1),41));
|
2513
|
+
|
2514
|
+
tmp_i10_fu_2289_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(ph),64));
|
2515
|
+
|
2516
|
+
tmp_i11_fu_2465_p2 <= std_logic_vector(unsigned(p_shl_i5_cast_fu_2461_p1) - unsigned(tmp_i10_cast_fu_2333_p1));
|
2517
|
+
tmp_i1_cast_29_fu_1249_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(nbl),39));
|
2518
|
+
|
2519
|
+
tmp_i1_cast_fu_1163_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(detl),47));
|
2520
|
+
|
2521
|
+
tmp_i1_fu_2229_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(wd1_1_fu_2209_p4),64));
|
2522
|
+
tmp_i2_fu_1261_p2 <= std_logic_vector(unsigned(p_shl_i_fu_1253_p3) - unsigned(tmp_i1_cast_29_fu_1249_p1));
|
2523
|
+
tmp_i3_cast_fu_1497_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(al1),41));
|
2524
|
+
|
2525
|
+
tmp_i3_fu_1393_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(wd1_fu_1373_p4),64));
|
2526
|
+
tmp_i4_fu_1453_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(plt),64));
|
2527
|
+
|
2528
|
+
tmp_i5_fu_1629_p2 <= std_logic_vector(unsigned(p_shl_i2_cast_fu_1625_p1) - unsigned(tmp_i3_cast_fu_1497_p1));
|
2529
|
+
tmp_i7_cast_fu_2099_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(nbh),39));
|
2530
|
+
|
2531
|
+
tmp_i8_fu_2111_p2 <= std_logic_vector(unsigned(p_shl_i3_fu_2103_p3) - unsigned(tmp_i7_cast_fu_2099_p1));
|
2532
|
+
tmp_s_fu_824_p1 <= tqmf_q0;
|
2533
|
+
tmp_s_fu_824_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(ap_const_lv39_7FFFFFFFD4) * signed(tmp_s_fu_824_p1))), 39));
|
2534
|
+
|
2535
|
+
tqmf_address0_assign_proc : process(ap_CS_fsm_state1, ap_CS_fsm_state2, ap_CS_fsm_state5, ap_CS_fsm_state4, tqmf_addr_reg_2673, exitcond2_fu_746_p2, ap_CS_fsm_state8, ap_CS_fsm_state10, exitcond_fu_934_p2, tqmf_ptr_0_sum1_cast_fu_764_p1, tqmf_ptr_0_sum_cast_fu_929_p1)
|
2536
|
+
begin
|
2537
|
+
if (((ap_const_lv1_0 = exitcond_fu_934_p2) and (ap_const_logic_1 = ap_CS_fsm_state10))) then
|
2538
|
+
tqmf_address0 <= tqmf_ptr_0_sum_cast_fu_929_p1(5 - 1 downto 0);
|
2539
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state8)) then
|
2540
|
+
tqmf_address0 <= ap_const_lv5_17;
|
2541
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state5)) then
|
2542
|
+
tqmf_address0 <= tqmf_addr_reg_2673;
|
2543
|
+
elsif (((exitcond2_fu_746_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state4))) then
|
2544
|
+
tqmf_address0 <= ap_const_lv5_16;
|
2545
|
+
elsif (((exitcond2_fu_746_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4))) then
|
2546
|
+
tqmf_address0 <= tqmf_ptr_0_sum1_cast_fu_764_p1(5 - 1 downto 0);
|
2547
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then
|
2548
|
+
tqmf_address0 <= ap_const_lv5_0;
|
2549
|
+
elsif (((ap_const_logic_1 = ap_CS_fsm_state1) or ((exitcond_fu_934_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state10)))) then
|
2550
|
+
tqmf_address0 <= ap_const_lv5_1;
|
2551
|
+
else
|
2552
|
+
tqmf_address0 <= "XXXXX";
|
2553
|
+
end if;
|
2554
|
+
end process;
|
2555
|
+
|
2556
|
+
|
2557
|
+
tqmf_address1_assign_proc : process(tqmf_addr_2_reg_2757, ap_CS_fsm_state10, ap_CS_fsm_state11)
|
2558
|
+
begin
|
2559
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state11)) then
|
2560
|
+
tqmf_address1 <= tqmf_addr_2_reg_2757;
|
2561
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state10)) then
|
2562
|
+
tqmf_address1 <= ap_const_lv5_0;
|
2563
|
+
else
|
2564
|
+
tqmf_address1 <= "XXXXX";
|
2565
|
+
end if;
|
2566
|
+
end process;
|
2567
|
+
|
2568
|
+
|
2569
|
+
tqmf_ce0_assign_proc : process(ap_start, ap_CS_fsm_state1, ap_CS_fsm_state2, ap_CS_fsm_state5, ap_CS_fsm_state4, exitcond2_fu_746_p2, ap_CS_fsm_state8, ap_CS_fsm_state10, exitcond_fu_934_p2)
|
2570
|
+
begin
|
2571
|
+
if (((ap_const_logic_1 = ap_CS_fsm_state8) or (ap_const_logic_1 = ap_CS_fsm_state5) or (ap_const_logic_1 = ap_CS_fsm_state2) or ((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1)) or ((ap_const_lv1_0 = exitcond_fu_934_p2) and (ap_const_logic_1 = ap_CS_fsm_state10)) or ((exitcond_fu_934_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state10)) or ((exitcond2_fu_746_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state4)) or ((exitcond2_fu_746_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state4)))) then
|
2572
|
+
tqmf_ce0 <= ap_const_logic_1;
|
2573
|
+
else
|
2574
|
+
tqmf_ce0 <= ap_const_logic_0;
|
2575
|
+
end if;
|
2576
|
+
end process;
|
2577
|
+
|
2578
|
+
|
2579
|
+
tqmf_ce1_assign_proc : process(ap_CS_fsm_state10, ap_CS_fsm_state11)
|
2580
|
+
begin
|
2581
|
+
if (((ap_const_logic_1 = ap_CS_fsm_state11) or (ap_const_logic_1 = ap_CS_fsm_state10))) then
|
2582
|
+
tqmf_ce1 <= ap_const_logic_1;
|
2583
|
+
else
|
2584
|
+
tqmf_ce1 <= ap_const_logic_0;
|
2585
|
+
end if;
|
2586
|
+
end process;
|
2587
|
+
|
2588
|
+
|
2589
|
+
tqmf_d1_assign_proc : process(xin2, tqmf_q0, ap_CS_fsm_state10, ap_CS_fsm_state11)
|
2590
|
+
begin
|
2591
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state11)) then
|
2592
|
+
tqmf_d1 <= tqmf_q0;
|
2593
|
+
elsif ((ap_const_logic_1 = ap_CS_fsm_state10)) then
|
2594
|
+
tqmf_d1 <= xin2;
|
2595
|
+
else
|
2596
|
+
tqmf_d1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
|
2597
|
+
end if;
|
2598
|
+
end process;
|
2599
|
+
|
2600
|
+
tqmf_ptr1_0_rec_fu_917_p2 <= std_logic_vector(unsigned(tqmf_ptr_0_pn_rec_reg_568) + unsigned(ap_const_lv6_3F));
|
2601
|
+
tqmf_ptr_0_rec_cast_fu_740_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tqmf_ptr_0_rec_reg_526),64));
|
2602
|
+
tqmf_ptr_0_sum1_cast_fu_764_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tqmf_ptr_0_sum1_fu_758_p2),64));
|
2603
|
+
tqmf_ptr_0_sum1_fu_758_p2 <= (tqmf_ptr_0_rec_reg_526 or ap_const_lv5_1);
|
2604
|
+
tqmf_ptr_0_sum_cast_fu_929_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tqmf_ptr_0_sum_fu_923_p2),64));
|
2605
|
+
tqmf_ptr_0_sum_fu_923_p2 <= std_logic_vector(unsigned(tqmf_ptr_0_pn_rec_reg_568) + unsigned(ap_const_lv6_15));
|
2606
|
+
|
2607
|
+
tqmf_we0_assign_proc : process(ap_CS_fsm_state10, exitcond_fu_934_p2)
|
2608
|
+
begin
|
2609
|
+
if (((exitcond_fu_934_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state10))) then
|
2610
|
+
tqmf_we0 <= ap_const_logic_1;
|
2611
|
+
else
|
2612
|
+
tqmf_we0 <= ap_const_logic_0;
|
2613
|
+
end if;
|
2614
|
+
end process;
|
2615
|
+
|
2616
|
+
|
2617
|
+
tqmf_we1_assign_proc : process(ap_CS_fsm_state10, exitcond_fu_934_p2, ap_CS_fsm_state11)
|
2618
|
+
begin
|
2619
|
+
if (((ap_const_logic_1 = ap_CS_fsm_state11) or ((exitcond_fu_934_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state10)))) then
|
2620
|
+
tqmf_we1 <= ap_const_logic_1;
|
2621
|
+
else
|
2622
|
+
tqmf_we1 <= ap_const_logic_0;
|
2623
|
+
end if;
|
2624
|
+
end process;
|
2625
|
+
|
2626
|
+
wd1_1_fu_2209_p4 <= nbl_assign_3_fu_2193_p3(10 downto 6);
|
2627
|
+
wd1_fu_1373_p4 <= nbl_assign_2_fu_1357_p3(10 downto 6);
|
2628
|
+
wd2_1_cast_fu_1509_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(wd2_fu_1501_p3),35));
|
2629
|
+
|
2630
|
+
wd2_1_fu_1513_p2 <= std_logic_vector(unsigned(ap_const_lv35_0) - unsigned(wd2_1_cast_fu_1509_p1));
|
2631
|
+
wd2_2_fu_2337_p3 <= (ah1 & ap_const_lv2_0);
|
2632
|
+
wd2_3_fu_2349_p2 <= std_logic_vector(unsigned(ap_const_lv35_0) - unsigned(wd2_5_cast_fu_2345_p1));
|
2633
|
+
wd2_5_cast_fu_2345_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(wd2_2_fu_2337_p3),35));
|
2634
|
+
|
2635
|
+
wd2_fu_1501_p3 <= (al1 & ap_const_lv2_0);
|
2636
|
+
wd3_0_apl1_i1_fu_2551_p3 <=
|
2637
|
+
apl1_9_cast_fu_2542_p1 when (tmp_30_i1_fu_2546_p2(0) = '1') else
|
2638
|
+
apl1_4_reg_3111;
|
2639
|
+
wd3_0_apl1_i_fu_1715_p3 <=
|
2640
|
+
apl1_8_cast_fu_1706_p1 when (tmp_30_i_fu_1710_p2(0) = '1') else
|
2641
|
+
apl1_reg_2959;
|
2642
|
+
wd3_2_fu_2253_p2 <= std_logic_vector(shift_right(unsigned(ilb_table_q0),to_integer(unsigned('0' & tmp_33_i1_cast_cast_fu_2249_p1(12-1 downto 0)))));
|
2643
|
+
wd3_fu_1407_p2 <= std_logic_vector(shift_right(unsigned(ilb_table_q0),to_integer(unsigned('0' & tmp_33_i_cast_cast_fu_1403_p1(12-1 downto 0)))));
|
2644
|
+
wd_fu_2117_p4 <= tmp_i8_fu_2111_p2(38 downto 7);
|
2645
|
+
wl_code_table_address0 <= tmp_16_fu_1239_p1(4 - 1 downto 0);
|
2646
|
+
|
2647
|
+
wl_code_table_ce0_assign_proc : process(ap_CS_fsm_state23)
|
2648
|
+
begin
|
2649
|
+
if ((ap_const_logic_1 = ap_CS_fsm_state23)) then
|
2650
|
+
wl_code_table_ce0 <= ap_const_logic_1;
|
2651
|
+
else
|
2652
|
+
wl_code_table_ce0 <= ap_const_logic_0;
|
2653
|
+
end if;
|
2654
|
+
end process;
|
2655
|
+
|
2656
|
+
wl_code_table_load_c_fu_1277_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(wl_code_table_q0),32));
|
2657
|
+
|
2658
|
+
xa_1_cast_fu_846_p2 <= std_logic_vector(unsigned(tmp_15_fu_836_p1) + unsigned(tmp_fu_833_p1));
|
2659
|
+
xa_1_fu_840_p2 <= std_logic_vector(signed(tmp_15_cast_fu_830_p1) + signed(xa1_reg_548));
|
2660
|
+
xa_2_fu_805_p2 <= std_logic_vector(signed(tmp_25_cast_fu_802_p1) + signed(xa1_reg_548));
|
2661
|
+
xa_cast_fu_722_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(xa_fu_716_p2),50));
|
2662
|
+
|
2663
|
+
xa_fu_716_p2 <= std_logic_vector(signed(p_shl_cast_fu_700_p1) - signed(p_shl1_cast_fu_712_p1));
|
2664
|
+
xb_1_cast_fu_900_p2 <= std_logic_vector(unsigned(tmp_17_fu_890_p1) + unsigned(tmp_2_fu_886_p1));
|
2665
|
+
xb_1_fu_894_p2 <= std_logic_vector(signed(tmp_22_cast_fu_882_p1) + signed(xb1_reg_558));
|
2666
|
+
xb_2_fu_814_p2 <= std_logic_vector(signed(tmp_28_cast_fu_811_p1) + signed(xb1_reg_558));
|
2667
|
+
xb_cast_fu_736_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(xb_fu_730_p2),50));
|
2668
|
+
|
2669
|
+
xb_fu_730_p0 <= reg_688;
|
2670
|
+
xb_fu_730_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(xb_fu_730_p0) * signed(ap_const_lv39_7FFFFFFFD4))), 39));
|
2671
|
+
zl_1_fu_1074_p2 <= std_logic_vector(unsigned(tmp_53_i_reg_2828) + unsigned(zl1_i_reg_590));
|
2672
|
+
zl_2_fu_1798_p0 <= delay_bph_load_reg_2971;
|
2673
|
+
zl_2_fu_1798_p1 <= delay_dhx_load_reg_2976;
|
2674
|
+
zl_2_fu_1798_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(zl_2_fu_1798_p0) * signed(zl_2_fu_1798_p1))), 64));
|
2675
|
+
zl_3_fu_1880_p2 <= std_logic_vector(unsigned(tmp_53_i1_reg_3014) + unsigned(zl1_i1_reg_623));
|
2676
|
+
zl_fu_992_p0 <= delay_bpl_load_reg_2785;
|
2677
|
+
zl_fu_992_p1 <= delay_dltx_load_reg_2790;
|
2678
|
+
zl_fu_992_p2 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(std_logic_vector(signed(zl_fu_992_p0) * signed(zl_fu_992_p1))), 64));
|
2679
|
+
end behav;
|