axi_tdl 0.0.19 → 0.1.7

Sign up to get free protection for your applications and to get access to all the features.
Files changed (146) hide show
  1. checksums.yaml +4 -4
  2. data/Rakefile +7 -0
  3. data/lib/axi/AXI4/axi4_direct_A1.sv +1 -1
  4. data/lib/axi/AXI4/axi4_direct_verc.sv +54 -54
  5. data/lib/axi/AXI4/axi4_dpram_cache.rb +1 -0
  6. data/lib/axi/AXI4/axi4_dpram_cache.sv +10 -10
  7. data/lib/axi/AXI4/axi4_rd_burst_track.sv +2 -1
  8. data/lib/axi/AXI4/axi4_wr_burst_track.sv +2 -1
  9. data/lib/axi/AXI4/axis_to_axi4_wr.sv +9 -9
  10. data/lib/axi/AXI4/odata_pool_axi4_A3.sv +7 -0
  11. data/lib/axi/AXI4/packet_partition/axi4_partition_rd_verb.sv +5 -5
  12. data/lib/axi/AXI4/packet_partition/data_inf_partition.sv +2 -2
  13. data/lib/axi/AXI4/wide_axis_to_axi4_wr.sv +9 -9
  14. data/lib/axi/AXI_stream/axi_stream_split_channel.sv +154 -0
  15. data/lib/axi/AXI_stream/axis_head_cut_verc.sv +242 -0
  16. data/lib/axi/AXI_stream/axis_insert_copy.sv +79 -0
  17. data/lib/axi/AXI_stream/axis_pipe_sync_seam.sv +48 -0
  18. data/lib/axi/AXI_stream/axis_rom_contect_sim.sv +113 -0
  19. data/lib/axi/AXI_stream/axis_sim_master_model.rb +2 -0
  20. data/lib/axi/AXI_stream/axis_sim_master_model.sv +46 -0
  21. data/lib/axi/AXI_stream/axis_split_channel_verb.sv +62 -0
  22. data/lib/axi/AXI_stream/data_width/axis_width_convert_verb.sv +50 -0
  23. data/lib/axi/common/common_ram_sim_wrapper.sv +1 -1
  24. data/lib/axi/common/common_ram_wrapper.sv +1 -1
  25. data/lib/axi/common/test_write_mem.sv +1 -1
  26. data/lib/axi/data_interface/data_inf_c/data_c_pipe_sync_seam.sv +13 -13
  27. data/lib/axi/data_interface/data_inf_c/data_c_sim_master_model.sv +14 -11
  28. data/lib/axi/platform_ip/fifo_36kb_long.sv +1 -1
  29. data/lib/axi/platform_ip/long_fifo_verb.sv +1 -1
  30. data/lib/axi/platform_ip/wide_fifo.sv +1 -1
  31. data/lib/axi/platform_ip/xilinx_fifo_verb.sv +1 -1
  32. data/lib/axi/platform_ip/xilinx_fifo_verc.sv +2 -1
  33. data/lib/axi/techbench/tb_axi_stream_split_channel.rb +2 -1
  34. data/lib/axi/techbench/tb_axi_stream_split_channel.sv +46 -45
  35. data/lib/axi_tdl.rb +31 -1
  36. data/lib/axi_tdl/version.rb +1 -1
  37. data/lib/public_atom_module/CheckPClock.sv +53 -0
  38. data/lib/public_atom_module/LICENSE.md +674 -0
  39. data/lib/public_atom_module/altera_xilinx_always_block_sw.rb +57 -0
  40. data/lib/public_atom_module/bits_decode.sv +71 -0
  41. data/lib/public_atom_module/bits_decode_verb.sv +71 -0
  42. data/lib/public_atom_module/bits_decode_verb_sdl.rb +24 -0
  43. data/lib/public_atom_module/broaden.v +43 -0
  44. data/lib/public_atom_module/broaden_and_cross_clk.v +47 -0
  45. data/lib/public_atom_module/ceiling.v +39 -0
  46. data/lib/public_atom_module/ceiling_A1.v +42 -0
  47. data/lib/public_atom_module/clock_rst.sv +64 -0
  48. data/lib/public_atom_module/cross_clk_sync.v +37 -0
  49. data/lib/public_atom_module/edge_generator.v +50 -0
  50. data/lib/public_atom_module/flooring.v +36 -0
  51. data/lib/public_atom_module/latch_data.v +30 -0
  52. data/lib/public_atom_module/latency.v +48 -0
  53. data/lib/public_atom_module/latency_dynamic.v +83 -0
  54. data/lib/public_atom_module/latency_long.v +84 -0
  55. data/lib/public_atom_module/latency_verb.v +52 -0
  56. data/lib/public_atom_module/once_event.sv +65 -0
  57. data/lib/public_atom_module/pipe_reg.v +93 -0
  58. data/lib/public_atom_module/pipe_reg_2write_ports.v +84 -0
  59. data/lib/public_atom_module/sim/clock_rst_verb.sv +54 -0
  60. data/lib/public_atom_module/sim/clock_rst_verc.sv +69 -0
  61. data/lib/public_atom_module/sim/latency_long_tb.sv +49 -0
  62. data/lib/public_atom_module/sim/latency_long_tb.sv.bak +49 -0
  63. data/lib/public_atom_module/sim_system_pkg.sv +4 -0
  64. data/lib/public_atom_module/synth_system_pkg.sv +4 -0
  65. data/lib/tdl/Logic/logic_edge.rb +1 -1
  66. data/lib/tdl/auto_script/import_hdl.rb +39 -4
  67. data/lib/tdl/axi4/axi4_interconnect_verb.rb +47 -10
  68. data/lib/tdl/class_hdl/hdl_always_comb.rb +4 -1
  69. data/lib/tdl/class_hdl/hdl_always_ff.rb +2 -2
  70. data/lib/tdl/class_hdl/hdl_assign.rb +7 -1
  71. data/lib/tdl/class_hdl/hdl_block_ifelse.rb +7 -7
  72. data/lib/tdl/class_hdl/hdl_foreach.rb +2 -2
  73. data/lib/tdl/class_hdl/hdl_function.rb +4 -4
  74. data/lib/tdl/class_hdl/hdl_generate.rb +4 -1
  75. data/lib/tdl/class_hdl/hdl_initial.rb +25 -3
  76. data/lib/tdl/class_hdl/hdl_module_def.rb +9 -6
  77. data/lib/tdl/class_hdl/hdl_package.rb +45 -0
  78. data/lib/tdl/class_hdl/hdl_redefine_opertor.rb +99 -27
  79. data/lib/tdl/class_hdl/hdl_struct.rb +2 -2
  80. data/lib/tdl/elements/Reset.rb +5 -9
  81. data/lib/tdl/elements/clock.rb +5 -9
  82. data/lib/tdl/elements/data_inf.rb +0 -17
  83. data/lib/tdl/elements/logic.rb +9 -31
  84. data/lib/tdl/elements/mail_box.rb +6 -1
  85. data/lib/tdl/elements/originclass.rb +17 -47
  86. data/lib/tdl/elements/parameter.rb +5 -6
  87. data/lib/tdl/examples/11_test_unit/dve.tcl +6 -153
  88. data/lib/tdl/examples/11_test_unit/exp_test_unit.sv +2 -2
  89. data/lib/tdl/examples/11_test_unit/exp_test_unit_sim.sv +9 -0
  90. data/lib/tdl/examples/11_test_unit/modules/sub_md0.sv +2 -2
  91. data/lib/tdl/examples/11_test_unit/modules/sub_md1.sv +2 -2
  92. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit.sv +1 -1
  93. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit_sim.sv +41 -0
  94. data/lib/tdl/examples/11_test_unit/tu0.sv +2 -1
  95. data/lib/tdl/examples/1_define_module/exmple_md.sv +1 -1
  96. data/lib/tdl/examples/2_hdl_class/tmp/always_comb_test.sv +3 -3
  97. data/lib/tdl/examples/2_hdl_class/tmp/always_ff_test.sv +3 -3
  98. data/lib/tdl/examples/2_hdl_class/tmp/case_test.sv +3 -3
  99. data/lib/tdl/examples/2_hdl_class/tmp/module_instance_test.sv +1 -1
  100. data/lib/tdl/examples/2_hdl_class/tmp/simple_assign_test.sv +3 -3
  101. data/lib/tdl/examples/2_hdl_class/tmp/state_case_test.sv +3 -3
  102. data/lib/tdl/examples/2_hdl_class/tmp/test_inst_sugar.sv +1 -1
  103. data/lib/tdl/examples/2_hdl_class/tmp/test_module.sv +3 -3
  104. data/lib/tdl/examples/2_hdl_class/tmp/test_module_port.sv +2 -2
  105. data/lib/tdl/examples/2_hdl_class/tmp/test_module_var.sv +7 -7
  106. data/lib/tdl/examples/2_hdl_class/tmp/test_package.sv +4 -3
  107. data/lib/tdl/examples/2_hdl_class/tmp/test_vcs_string.sv +1 -1
  108. data/lib/tdl/examples/3_hdl_sdl_instance/main_md.sv +2 -2
  109. data/lib/tdl/examples/3_hdl_sdl_instance/sdl_md.sv +1 -1
  110. data/lib/tdl/examples/6_module_with_interface/example_interface.sv +8 -8
  111. data/lib/tdl/examples/6_module_with_interface/inf_collect.sv +9 -9
  112. data/lib/tdl/examples/7_module_with_package/body_package.sv +4 -3
  113. data/lib/tdl/examples/7_module_with_package/example_pkg.sv +1 -1
  114. data/lib/tdl/examples/7_module_with_package/head_package.sv +4 -3
  115. data/lib/tdl/examples/8_top_module/dve.tcl +155 -2
  116. data/lib/tdl/examples/8_top_module/tb_test_top.sv +1 -1
  117. data/lib/tdl/examples/8_top_module/tb_test_top_sim.sv +29 -0
  118. data/lib/tdl/examples/8_top_module/test_top.sv +7 -26
  119. data/lib/tdl/examples/8_top_module/test_top_sim.sv +28 -0
  120. data/lib/tdl/examples/9_itegration/clock_manage/itgt_module_clock_manage.rb +13 -0
  121. data/lib/tdl/examples/9_itegration/clock_manage/test_clock_bb.sv +35 -0
  122. data/lib/tdl/examples/9_itegration/dve.tcl +155 -2
  123. data/lib/tdl/examples/9_itegration/tb_test_top.sv +2 -2
  124. data/lib/tdl/examples/9_itegration/tb_test_tttop.sv +1 -1
  125. data/lib/tdl/examples/9_itegration/tb_test_tttop_sim.sv +38 -0
  126. data/lib/tdl/examples/9_itegration/test_top.sv +4 -4
  127. data/lib/tdl/examples/9_itegration/test_tttop.sv +7 -38
  128. data/lib/tdl/examples/9_itegration/test_tttop_sim.sv +40 -0
  129. data/lib/tdl/examples/9_itegration/top.rb +1 -0
  130. data/lib/tdl/exlib/axis_verify.rb +4 -3
  131. data/lib/tdl/exlib/constraints_verb.rb +1 -0
  132. data/lib/tdl/exlib/itegration_verb.rb +212 -169
  133. data/lib/tdl/rebuild_ele/ele_base.rb +15 -10
  134. data/lib/tdl/sdlmodule/sdlmodule.rb +117 -51
  135. data/lib/tdl/sdlmodule/sdlmodule_arraychain.rb +1 -1
  136. data/lib/tdl/sdlmodule/sdlmodule_draw.rb +3 -3
  137. data/lib/tdl/sdlmodule/sdlmodule_instance.rb +3 -0
  138. data/lib/tdl/sdlmodule/sdlmodule_port_define.rb +6 -6
  139. data/lib/tdl/sdlmodule/sdlmodule_varible.rb +6 -6
  140. data/lib/tdl/sdlmodule/test_unit_module.rb +12 -0
  141. data/lib/tdl/sdlmodule/top_module.rb +13 -10
  142. data/lib/tdl/tdl.rb +1 -11
  143. data/lib/tdl/tdlerror/tdlerror.rb +1 -1
  144. metadata +46 -5
  145. data/CODE_OF_CONDUCT.md +0 -74
  146. data/lib/axi/AXI_stream/axis_length_split_writh_user.sv +0 -87
@@ -0,0 +1,242 @@
1
+ /**********************************************
2
+ _______________________________________
3
+ ___________ Cook Darwin __________
4
+ _______________________________________
5
+ descript:
6
+ author : Cook.Darwin
7
+ Version: VERC.0.0
8
+ created: XXXX.XX.XX
9
+ madified:
10
+ ***********************************************/
11
+ `timescale 1ns/1ps
12
+
13
+ module axis_head_cut_verc #(
14
+ parameter BYTE_BITS = 8,
15
+ parameter DX = (origin_inf.DSIZE / BYTE_BITS)
16
+ )(
17
+ input [9:0] bytes,
18
+ axi_stream_inf.slaver origin_inf,
19
+ axi_stream_inf.master out_inf
20
+ );
21
+
22
+ //==========================================================================
23
+ //-------- define ----------------------------------------------------------
24
+ logic clock;
25
+ logic rst_n;
26
+ logic [18-1:0] origin_sync_info[3-1:0] ;
27
+ logic [18-1:0] origin_sync_info_out[3-1:0] ;
28
+ logic [10-1:0] bytes_Q ;
29
+ logic [10-1:0] bytes_QQ ;
30
+ logic [4-1:0] bytes_x ;
31
+ logic [4-1:0] bytes_x_Q ;
32
+ logic [4-1:0] bytes_x_tmp ;
33
+ logic [4-1:0] bytes_x_sub_nDx ;
34
+ logic [4-1:0] bytes_x_sub_nDx_tmp ;
35
+ logic [2-1:0] route_addr ;
36
+ logic [2-1:0] route_addr_tmp ;
37
+ logic fifo_wr_en;
38
+ logic [4-1:0] int_cut_len ;
39
+ logic [4-1:0] shift_sel_pre ;
40
+ logic fifo_wr_en_lat;
41
+ logic [4-1:0] shift_sel ;
42
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) origin_inf_post (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
43
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) sub_origin_inf [2:0] (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
44
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) origin_inf_ss (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
45
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) origin_inf_cut_mix (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
46
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) origin_inf_ss_E0 (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
47
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) origin_inf_ss_E0_CH (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
48
+ axi_stream_inf #(.DSIZE(out_inf.DSIZE),.FreqM(out_inf.FreqM),.USIZE(1)) out_inf_branchR655 (.aclk(out_inf.aclk),.aresetn(out_inf.aresetn),.aclken(1'b1)) ;
49
+ //==========================================================================
50
+ //-------- instance --------------------------------------------------------
51
+ axis_pipe_sync_seam #(
52
+ .LAT (3 ),
53
+ .DSIZE (18 )
54
+ )axis_pipe_sync_seam_inst(
55
+ /* input */.in_datas (origin_sync_info ),
56
+ /* output */.out_datas (origin_sync_info_out ),
57
+ /* axi_stream_inf.slaver */.in_inf (origin_inf ),
58
+ /* axi_stream_inf.master */.out_inf (origin_inf_post )
59
+ );
60
+ axi_stream_interconnect_S2M #(
61
+ .NUM (3 )
62
+ )axi_stream_interconnect_S2M_inst(
63
+ /* input */.addr (route_addr ),
64
+ /* axi_stream_inf.slaver */.s00 (origin_inf_post ),
65
+ /* axi_stream_inf.master */.m00 (sub_origin_inf )
66
+ );
67
+ common_fifo #(
68
+ .DEPTH (4 ),
69
+ .DSIZE (4 )
70
+ )common_fifo_head_bytesx_inst(
71
+ /* input */.clock (clock ),
72
+ /* input */.rst_n (rst_n ),
73
+ /* input */.wdata (bytes_x ),
74
+ /* input */.wr_en (fifo_wr_en && (bytes_x!= '0) ),
75
+ /* output */.rdata (int_cut_len ),
76
+ /* input */.rd_en ((sub_origin_inf[1].axis_tvalid && sub_origin_inf[1].axis_tready && sub_origin_inf[1].axis_tlast) ),
77
+ /* output */.count (/*unused */ ),
78
+ /* output */.empty (/*unused */ ),
79
+ /* output */.full (/*unused */ )
80
+ );
81
+ axis_head_cut_verb axis_head_cut_verb_inst(
82
+ /* input */.length ({12'd0,int_cut_len} ),
83
+ /* axi_stream_inf.slaver */.axis_in (sub_origin_inf[1] ),
84
+ /* axi_stream_inf.master */.axis_out (origin_inf_ss )
85
+ );
86
+ axis_append_A1 #(
87
+ .MODE ("END" ),
88
+ .DSIZE (out_inf.DSIZE ),
89
+ .HEAD_FIELD_LEN (1 ),
90
+ .HEAD_FIELD_NAME ("HEAD Filed" ),
91
+ .END_FIELD_LEN (1 ),
92
+ .END_FIELD_NAME ("END Filed" )
93
+ )axis_append_A1_inst(
94
+ /* input */.enable (1'b1 ),
95
+ /* input */.head_value (/*unused */ ),
96
+ /* input */.end_value ('0 ),
97
+ /* axi_stream_inf.slaver */.origin_in (origin_inf_cut_mix ),
98
+ /* axi_stream_inf.master */.append_out (origin_inf_ss_E0 )
99
+ );
100
+ common_fifo #(
101
+ .DEPTH (4 ),
102
+ .DSIZE (4 )
103
+ )common_fifo_head_nDx_inst(
104
+ /* input */.clock (clock ),
105
+ /* input */.rst_n (rst_n ),
106
+ /* input */.wdata (shift_sel_pre ),
107
+ /* input */.wr_en (fifo_wr_en_lat ),
108
+ /* output */.rdata (shift_sel ),
109
+ /* input */.rd_en (origin_inf_ss_E0.axis_tvalid && origin_inf_ss_E0.axis_tready && origin_inf_ss_E0.axis_tlast ),
110
+ /* output */.count (/*unused */ ),
111
+ /* output */.empty (/*unused */ ),
112
+ /* output */.full (/*unused */ )
113
+ );
114
+ axis_connect_pipe_right_shift_verb #(
115
+ .SHIFT_BYTE_BIT (BYTE_BITS ),
116
+ .SNUM (DX )
117
+ )axis_connect_pipe_right_shift_verb_inst(
118
+ /* input */.shift_sel (shift_sel ),
119
+ /* axi_stream_inf.slaver */.axis_in (origin_inf_ss_E0 ),
120
+ /* axi_stream_inf.master */.axis_out (origin_inf_ss_E0_CH )
121
+ );
122
+ axis_head_cut_verb last_cut_inst(
123
+ /* input */.length (16'd1 ),
124
+ /* axi_stream_inf.slaver */.axis_in (origin_inf_ss_E0_CH ),
125
+ /* axi_stream_inf.master */.axis_out (out_inf_branchR655 )
126
+ );
127
+ //==========================================================================
128
+ //-------- expression ------------------------------------------------------
129
+
130
+ axi_stream_inf #(.DSIZE(out_inf.DSIZE)) sub_out_inf[2-1:0](.aclk(out_inf.aclk),.aresetn(out_inf.aresetn),.aclken(1'b1));
131
+
132
+
133
+ axis_direct axis_direct_out_inf_inst0 (
134
+ /* axi_stream_inf.slaver*/ .slaver (sub_origin_inf[0]),
135
+ /* axi_stream_inf.master*/ .master (sub_out_inf[0])
136
+ );
137
+
138
+ axis_direct axis_direct_out_inf_inst1 (
139
+ /* axi_stream_inf.slaver*/ .slaver (out_inf_branchR655),
140
+ /* axi_stream_inf.master*/ .master (sub_out_inf[1])
141
+ );
142
+
143
+
144
+ axi_stream_inf #(.DSIZE(origin_inf_cut_mix.DSIZE)) sub_origin_inf_cut_mix[2-1:0](.aclk(origin_inf_cut_mix.aclk),.aresetn(origin_inf_cut_mix.aresetn),.aclken(1'b1));
145
+
146
+
147
+ axis_direct axis_direct_origin_inf_cut_mix_inst0 (
148
+ /* axi_stream_inf.slaver*/ .slaver (origin_inf_ss),
149
+ /* axi_stream_inf.master*/ .master (sub_origin_inf_cut_mix[0])
150
+ );
151
+
152
+ axis_direct axis_direct_origin_inf_cut_mix_inst1 (
153
+ /* axi_stream_inf.slaver*/ .slaver (sub_origin_inf[2]),
154
+ /* axi_stream_inf.master*/ .master (sub_origin_inf_cut_mix[1])
155
+ );
156
+ initial begin
157
+ assert(DX<17)else begin
158
+ $error("param.DX<%0d> !< 17",DX);
159
+ $stop;
160
+ end
161
+ end
162
+
163
+ assign clock = origin_inf.aclk;
164
+ assign rst_n = origin_inf.aresetn;
165
+
166
+ always_comb begin
167
+ bytes_x_tmp = '0;
168
+ for(integer gvar_cc_1=0;gvar_cc_1<10;gvar_cc_1=gvar_cc_1+1)begin
169
+ if(bytes<DX*(10-gvar_cc_1))begin
170
+ bytes_x_tmp = (10-1)-gvar_cc_1;
171
+ end
172
+ end
173
+ end
174
+
175
+ assign origin_sync_info[0] = {bytes_x_tmp,bytes_x_tmp,bytes};
176
+ assign {bytes_x,bytes_Q} = {origin_sync_info_out[0][13:10],origin_sync_info_out[0][9:0]};
177
+ assign bytes_x_sub_nDx_tmp = bytes_Q-(bytes_x*DX);
178
+ assign origin_sync_info[1] = {bytes_x_sub_nDx_tmp,bytes_x,bytes_Q};
179
+ assign {bytes_x_sub_nDx,bytes_x_Q,bytes_QQ} = {origin_sync_info_out[1][17:14],origin_sync_info_out[1][13:10],origin_sync_info_out[1][9:0]};
180
+ assign origin_sync_info[2] = {10'd0,route_addr_tmp};
181
+ assign route_addr = origin_sync_info_out[2][1:0];
182
+
183
+ always_comb begin
184
+ if(bytes_QQ=='0)begin
185
+ route_addr_tmp = 2'd0;
186
+ end
187
+ else if(bytes_x_Q=='0)begin
188
+ route_addr_tmp = 2'd2;
189
+ end
190
+ else if(bytes_x_sub_nDx=='0)begin
191
+ route_addr_tmp = 2'd1;
192
+ end
193
+ else begin
194
+ route_addr_tmp = 2'd1;
195
+ end
196
+ end
197
+
198
+ always_ff@(posedge clock,negedge rst_n) begin
199
+ if(~rst_n)begin
200
+ fifo_wr_en <= 1'b0;
201
+ end
202
+ else begin
203
+ fifo_wr_en <= (origin_inf.axis_tcnt=='0&&origin_inf.axis_tvalid && origin_inf.axis_tready);
204
+ end
205
+ end
206
+
207
+ assign shift_sel_pre = DX-bytes_x_sub_nDx;
208
+
209
+
210
+ //----->> fifo_wr_en LAST DELAY <<------------------
211
+ latency #(
212
+ .LAT (2),
213
+ .DSIZE (1)
214
+ )fifo_wr_en_lat2_inst(
215
+ clock,
216
+ rst_n,
217
+ fifo_wr_en,
218
+ fifo_wr_en_lat
219
+ );
220
+ //-----<< fifo_wr_en LAST DELAY >>------------------
221
+
222
+
223
+ axi_stream_interconnect_M2S_A1 #(
224
+ //axi_stream_interconnect_M2S_noaddr #(
225
+ .NUM (2)
226
+ // .DSIZE (out_inf.DSIZE)
227
+ )out_inf_M2S_noaddr_inst(
228
+ /* axi_stream_inf.slaver */ .s00 (sub_out_inf ), //[NUM-1:0],
229
+ /* axi_stream_inf.master */ .m00 (out_inf) //
230
+ );
231
+
232
+
233
+ axi_stream_interconnect_M2S_A1 #(
234
+ //axi_stream_interconnect_M2S_noaddr #(
235
+ .NUM (2)
236
+ // .DSIZE (origin_inf.DSIZE)
237
+ )origin_inf_cut_mix_M2S_noaddr_inst(
238
+ /* axi_stream_inf.slaver */ .s00 (sub_origin_inf_cut_mix ), //[NUM-1:0],
239
+ /* axi_stream_inf.master */ .m00 (origin_inf_cut_mix) //
240
+ );
241
+
242
+ endmodule
@@ -0,0 +1,79 @@
1
+ /**********************************************
2
+ _______________________________________
3
+ ___________ Cook Darwin __________
4
+ _______________________________________
5
+ descript:
6
+ author : Cook.Darwin
7
+ Version: VERA.0.0
8
+ created: 2021-04-16 17:01:06 +0800
9
+ madified:
10
+ ***********************************************/
11
+ `timescale 1ns/1ps
12
+
13
+ module axis_insert_copy (
14
+ input [15:0] insert_seed,
15
+ input [7:0] insert_len,
16
+ axi_stream_inf.slaver in_inf,
17
+ axi_stream_inf.master out_inf
18
+ );
19
+
20
+ //==========================================================================
21
+ //-------- define ----------------------------------------------------------
22
+ logic clock;
23
+ logic rst_n;
24
+ logic insert_tri;
25
+ axi_stream_inf #(.DSIZE(in_inf.DSIZE),.FreqM(in_inf.FreqM),.USIZE(1)) in_inf_valve (.aclk(in_inf.aclk),.aresetn(in_inf.aresetn),.aclken(1'b1)) ;
26
+ //==========================================================================
27
+ //-------- instance --------------------------------------------------------
28
+ axis_connect_pipe axis_connect_pipe_inst(
29
+ /* axi_stream_inf.slaver */.axis_in (in_inf_valve ),
30
+ /* axi_stream_inf.master */.axis_out (out_inf )
31
+ );
32
+ //==========================================================================
33
+ //-------- expression ------------------------------------------------------
34
+ assign clock = in_inf.aclk;
35
+ assign rst_n = in_inf.aresetn;
36
+
37
+ assign in_inf_valve.axis_tdata = in_inf.axis_tdata;
38
+ assign in_inf_valve.axis_tvalid = in_inf.axis_tvalid|insert_tri;
39
+ assign in_inf_valve.axis_tuser = in_inf.axis_tuser;
40
+ assign in_inf_valve.axis_tkeep = in_inf.axis_tkeep;
41
+ assign in_inf.axis_tready = in_inf_valve.axis_tready&~insert_tri;
42
+ assign in_inf_valve.axis_tlast = in_inf.axis_tlast&~insert_tri;
43
+
44
+ always_ff@(posedge clock,negedge rst_n) begin
45
+ if(~rst_n)begin
46
+ insert_tri <= 1'b0;
47
+ end
48
+ else begin
49
+ if(insert_seed=='0)begin
50
+ if(in_inf.axis_tvalid && in_inf.axis_tready && in_inf.axis_tlast)begin
51
+ insert_tri <= 1'b1;
52
+ end
53
+ else if(in_inf_valve.axis_tvalid && in_inf_valve.axis_tready)begin
54
+ insert_tri <= (in_inf_valve.axis_tcnt<(insert_len-1'b1));
55
+ end
56
+ else if(in_inf_valve.axis_tcnt=='0&&~(in_inf.axis_tvalid && in_inf.axis_tready))begin
57
+ insert_tri <= 1'b1;
58
+ end
59
+ else begin
60
+ insert_tri <= insert_tri;
61
+ end
62
+ end
63
+ else begin
64
+ if(in_inf_valve.axis_tvalid && in_inf_valve.axis_tready)begin
65
+ if(in_inf_valve.axis_tcnt>=(insert_seed-1'b1) &&(in_inf_valve.axis_tcnt<((insert_seed+insert_len)-1'b1))&&~in_inf.axis_tlast)begin
66
+ insert_tri <= 1'b1;
67
+ end
68
+ else begin
69
+ insert_tri <= 1'b0;
70
+ end
71
+ end
72
+ else begin
73
+ insert_tri <= insert_tri;
74
+ end
75
+ end
76
+ end
77
+ end
78
+
79
+ endmodule
@@ -0,0 +1,48 @@
1
+ /**********************************************
2
+ _______________________________________
3
+ ___________ Cook Darwin __________
4
+ _______________________________________
5
+ descript:
6
+ author : Cook.Darwin
7
+ Version: VERA.0.0
8
+ created: 2021-04-16 17:01:05 +0800
9
+ madified:
10
+ ***********************************************/
11
+ `timescale 1ns/1ps
12
+
13
+ module axis_pipe_sync_seam #(
14
+ parameter LAT = 4,
15
+ parameter DSIZE = 32
16
+ )(
17
+ input [DSIZE-1:0] in_datas [LAT-1:0],
18
+ output [DSIZE-1:0] out_datas [LAT-1:0],
19
+ axi_stream_inf.slaver in_inf,
20
+ axi_stream_inf.master out_inf
21
+ );
22
+
23
+ //==========================================================================
24
+ //-------- define ----------------------------------------------------------
25
+
26
+ data_inf_c #(.DSIZE(in_inf.DSIZE+in_inf.KSIZE+1+in_inf.USIZE),.FreqM(1.0)) data_in_inf (.clock(in_inf.aclk),.rst_n(in_inf.aresetn)) ;
27
+ data_inf_c #(.DSIZE(in_inf.DSIZE+in_inf.KSIZE+1+in_inf.USIZE),.FreqM(data_in_inf.FreqM)) data_out_inf (.clock(in_inf.aclk),.rst_n(in_inf.aresetn)) ;
28
+ //==========================================================================
29
+ //-------- instance --------------------------------------------------------
30
+ data_c_pipe_sync_seam #(
31
+ .LAT (LAT ),
32
+ .DSIZE (DSIZE )
33
+ )data_c_pipe_sync_seam_inst(
34
+ /* input */.in_datas (in_datas ),
35
+ /* output */.out_datas (out_datas ),
36
+ /* data_inf_c.slaver */.in_inf (data_in_inf ),
37
+ /* data_inf_c.master */.out_inf (data_out_inf )
38
+ );
39
+ //==========================================================================
40
+ //-------- expression ------------------------------------------------------
41
+ assign data_in_inf.data = {>>{in_inf.axis_tuser,in_inf.axis_tkeep,in_inf.axis_tlast,in_inf.axis_tdata}};
42
+ assign data_in_inf.valid = in_inf.axis_tvalid;
43
+ assign in_inf.axis_tready = data_in_inf.ready;
44
+ assign {out_inf.axis_tuser,out_inf.axis_tkeep,out_inf.axis_tlast,out_inf.axis_tdata} = data_out_inf.data;
45
+ assign out_inf.axis_tvalid = data_out_inf.valid;
46
+ assign data_out_inf.ready = out_inf.axis_tready;
47
+
48
+ endmodule
@@ -0,0 +1,113 @@
1
+ /**********************************************
2
+ _______________________________________
3
+ ___________ Cook Darwin __________
4
+ _______________________________________
5
+ descript:
6
+ author : Cook.Darwin
7
+ Version: VERA.0.0
8
+ created: 2021-04-16 17:01:02 +0800
9
+ madified:
10
+ ***********************************************/
11
+ `timescale 1ns/1ps
12
+
13
+ module axis_rom_contect_sim #(
14
+ parameter FNUM = 8,
15
+ parameter STEP = 1
16
+ )(
17
+ input [FNUM-1:0] load_files,
18
+ input [4095:0] init_files [FNUM-1:0],
19
+ axi_stream_inf.slaver a_axis_zip,
20
+ axi_stream_inf.slaver b_axis_zip,
21
+ axi_stream_inf.master a_rom_contect_inf,
22
+ axi_stream_inf.master b_rom_contect_inf
23
+ );
24
+
25
+ //==========================================================================
26
+ //-------- define ----------------------------------------------------------
27
+
28
+ axi_stream_inf #(.DSIZE((a_axis_zip.DSIZE / 2)),.FreqM(a_axis_zip.FreqM),.USIZE(1)) a_axis_unzip (.aclk(a_axis_zip.aclk),.aresetn(a_axis_zip.aresetn),.aclken(1'b1)) ;
29
+ axi_stream_inf #(.DSIZE((b_axis_zip.DSIZE / 2)),.FreqM(b_axis_zip.FreqM),.USIZE(1)) b_axis_unzip (.aclk(b_axis_zip.aclk),.aresetn(b_axis_zip.aresetn),.aclken(1'b1)) ;
30
+ cm_ram_inf #(.DSIZE(a_rom_contect_inf.DSIZE),.RSIZE(a_axis_zip.DSIZE),.MSIZE(1)) xram_inf();
31
+ axi_stream_inf #(.DSIZE(a_rom_contect_inf.DSIZE+(a_axis_zip.DSIZE / 2)),.FreqM(a_rom_contect_inf.FreqM),.USIZE(1)) a_rom_contect_inf_pre (.aclk(a_rom_contect_inf.aclk),.aresetn(a_rom_contect_inf.aresetn),.aclken(1'b1)) ;
32
+ axi_stream_inf #(.DSIZE(b_rom_contect_inf.DSIZE+(b_axis_zip.DSIZE / 2)),.FreqM(b_rom_contect_inf.FreqM),.USIZE(1)) b_rom_contect_inf_pre (.aclk(b_rom_contect_inf.aclk),.aresetn(b_rom_contect_inf.aresetn),.aclken(1'b1)) ;
33
+ //==========================================================================
34
+ //-------- instance --------------------------------------------------------
35
+ axis_uncompress_A1 #(
36
+ .ASIZE ((a_axis_zip.DSIZE / 2) ),
37
+ .LSIZE ((a_axis_zip.DSIZE / 2) ),
38
+ .STEP (STEP )
39
+ )axis_uncompress_A1_ainst(
40
+ /* axi_stream_inf.slaver */.axis_zip (a_axis_zip ),
41
+ /* axi_stream_inf.master */.axis_unzip (a_axis_unzip )
42
+ );
43
+ axis_uncompress_A1 #(
44
+ .ASIZE ((a_axis_zip.DSIZE / 2) ),
45
+ .LSIZE ((a_axis_zip.DSIZE / 2) ),
46
+ .STEP (STEP )
47
+ )axis_uncompress_A1_binst(
48
+ /* axi_stream_inf.slaver */.axis_zip (b_axis_zip ),
49
+ /* axi_stream_inf.master */.axis_unzip (b_axis_unzip )
50
+ );
51
+ common_ram_sim_wrapper #(
52
+ .FNUM (FNUM )
53
+ )common_ram_wrapper_sim_inst(
54
+ /* input */.load_files (load_files ),
55
+ /* input */.init_files (init_files ),
56
+ /* cm_ram_inf.slaver */.ram_inf (xram_inf )
57
+ );
58
+ axi_stream_planer #(
59
+ .LAT (3 ),
60
+ .DSIZE (a_rom_contect_inf.DSIZE ),
61
+ .HEAD ("FALSE" )
62
+ )axi_stream_planer_ainst(
63
+ /* input */.reset (~a_axis_zip.aresetn ),
64
+ /* input */.pack_data (xram_inf.doa ),
65
+ /* axi_stream_inf.slaver */.axis_in (a_axis_unzip ),
66
+ /* axi_stream_inf.master */.axis_out (a_rom_contect_inf_pre )
67
+ );
68
+ axi_stream_planer #(
69
+ .LAT (3 ),
70
+ .DSIZE (b_rom_contect_inf.DSIZE ),
71
+ .HEAD ("FALSE" )
72
+ )axi_stream_planer_binst(
73
+ /* input */.reset (~b_axis_zip.aresetn ),
74
+ /* input */.pack_data (xram_inf.dob ),
75
+ /* axi_stream_inf.slaver */.axis_in (b_axis_unzip ),
76
+ /* axi_stream_inf.master */.axis_out (b_rom_contect_inf_pre )
77
+ );
78
+ //==========================================================================
79
+ //-------- expression ------------------------------------------------------
80
+ initial begin
81
+ assert(a_axis_zip.DSIZE==b_axis_zip.DSIZE)else begin
82
+ $error("a_axis_zip.DSIZE<%0d> must equal b_axis_zip.DSIZE<%0d>",a_axis_zip.DSIZE,b_axis_zip.DSIZE);
83
+ $stop;
84
+ end
85
+ assert(a_rom_contect_inf.DSIZE==b_rom_contect_inf.DSIZE)else begin
86
+ $error("a_rom_contect_inf.DSIZE<%0d>==b_rom_contect_inf.DSIZE<%0d>",a_rom_contect_inf.DSIZE,b_rom_contect_inf.DSIZE);
87
+ $stop;
88
+ end
89
+ end
90
+
91
+ assign xram_inf.addra = a_axis_unzip.axis_tdata;
92
+ assign xram_inf.dia = '0;
93
+ assign xram_inf.wea = '0;
94
+ assign xram_inf.ena = 1'b1;
95
+ assign xram_inf.clka = a_axis_zip.aclk;
96
+ assign xram_inf.rsta = ~a_axis_zip.aresetn;
97
+ assign xram_inf.addrb = b_axis_unzip.axis_tdata;
98
+ assign xram_inf.dib = '0;
99
+ assign xram_inf.web = '0;
100
+ assign xram_inf.enb = 1'b1;
101
+ assign xram_inf.clkb = b_axis_zip.aclk;
102
+ assign xram_inf.rstb = ~b_axis_zip.aresetn;
103
+
104
+ assign a_rom_contect_inf.axis_tdata = a_rom_contect_inf_pre.axis_tdata[a_rom_contect_inf.DSIZE-1:0];
105
+ assign a_rom_contect_inf.axis_tvalid = a_rom_contect_inf_pre.axis_tvalid;
106
+ assign a_rom_contect_inf.axis_tlast = a_rom_contect_inf_pre.axis_tlast;
107
+ assign a_rom_contect_inf_pre.axis_tready = a_rom_contect_inf.axis_tready;
108
+ assign b_rom_contect_inf.axis_tdata = b_rom_contect_inf_pre.axis_tdata[b_rom_contect_inf.DSIZE-1:0];
109
+ assign b_rom_contect_inf.axis_tvalid = b_rom_contect_inf_pre.axis_tvalid;
110
+ assign b_rom_contect_inf.axis_tlast = b_rom_contect_inf_pre.axis_tlast;
111
+ assign b_rom_contect_inf_pre.axis_tready = b_rom_contect_inf.axis_tready;
112
+
113
+ endmodule