axi_tdl 0.0.19 → 0.1.7

Sign up to get free protection for your applications and to get access to all the features.
Files changed (146) hide show
  1. checksums.yaml +4 -4
  2. data/Rakefile +7 -0
  3. data/lib/axi/AXI4/axi4_direct_A1.sv +1 -1
  4. data/lib/axi/AXI4/axi4_direct_verc.sv +54 -54
  5. data/lib/axi/AXI4/axi4_dpram_cache.rb +1 -0
  6. data/lib/axi/AXI4/axi4_dpram_cache.sv +10 -10
  7. data/lib/axi/AXI4/axi4_rd_burst_track.sv +2 -1
  8. data/lib/axi/AXI4/axi4_wr_burst_track.sv +2 -1
  9. data/lib/axi/AXI4/axis_to_axi4_wr.sv +9 -9
  10. data/lib/axi/AXI4/odata_pool_axi4_A3.sv +7 -0
  11. data/lib/axi/AXI4/packet_partition/axi4_partition_rd_verb.sv +5 -5
  12. data/lib/axi/AXI4/packet_partition/data_inf_partition.sv +2 -2
  13. data/lib/axi/AXI4/wide_axis_to_axi4_wr.sv +9 -9
  14. data/lib/axi/AXI_stream/axi_stream_split_channel.sv +154 -0
  15. data/lib/axi/AXI_stream/axis_head_cut_verc.sv +242 -0
  16. data/lib/axi/AXI_stream/axis_insert_copy.sv +79 -0
  17. data/lib/axi/AXI_stream/axis_pipe_sync_seam.sv +48 -0
  18. data/lib/axi/AXI_stream/axis_rom_contect_sim.sv +113 -0
  19. data/lib/axi/AXI_stream/axis_sim_master_model.rb +2 -0
  20. data/lib/axi/AXI_stream/axis_sim_master_model.sv +46 -0
  21. data/lib/axi/AXI_stream/axis_split_channel_verb.sv +62 -0
  22. data/lib/axi/AXI_stream/data_width/axis_width_convert_verb.sv +50 -0
  23. data/lib/axi/common/common_ram_sim_wrapper.sv +1 -1
  24. data/lib/axi/common/common_ram_wrapper.sv +1 -1
  25. data/lib/axi/common/test_write_mem.sv +1 -1
  26. data/lib/axi/data_interface/data_inf_c/data_c_pipe_sync_seam.sv +13 -13
  27. data/lib/axi/data_interface/data_inf_c/data_c_sim_master_model.sv +14 -11
  28. data/lib/axi/platform_ip/fifo_36kb_long.sv +1 -1
  29. data/lib/axi/platform_ip/long_fifo_verb.sv +1 -1
  30. data/lib/axi/platform_ip/wide_fifo.sv +1 -1
  31. data/lib/axi/platform_ip/xilinx_fifo_verb.sv +1 -1
  32. data/lib/axi/platform_ip/xilinx_fifo_verc.sv +2 -1
  33. data/lib/axi/techbench/tb_axi_stream_split_channel.rb +2 -1
  34. data/lib/axi/techbench/tb_axi_stream_split_channel.sv +46 -45
  35. data/lib/axi_tdl.rb +31 -1
  36. data/lib/axi_tdl/version.rb +1 -1
  37. data/lib/public_atom_module/CheckPClock.sv +53 -0
  38. data/lib/public_atom_module/LICENSE.md +674 -0
  39. data/lib/public_atom_module/altera_xilinx_always_block_sw.rb +57 -0
  40. data/lib/public_atom_module/bits_decode.sv +71 -0
  41. data/lib/public_atom_module/bits_decode_verb.sv +71 -0
  42. data/lib/public_atom_module/bits_decode_verb_sdl.rb +24 -0
  43. data/lib/public_atom_module/broaden.v +43 -0
  44. data/lib/public_atom_module/broaden_and_cross_clk.v +47 -0
  45. data/lib/public_atom_module/ceiling.v +39 -0
  46. data/lib/public_atom_module/ceiling_A1.v +42 -0
  47. data/lib/public_atom_module/clock_rst.sv +64 -0
  48. data/lib/public_atom_module/cross_clk_sync.v +37 -0
  49. data/lib/public_atom_module/edge_generator.v +50 -0
  50. data/lib/public_atom_module/flooring.v +36 -0
  51. data/lib/public_atom_module/latch_data.v +30 -0
  52. data/lib/public_atom_module/latency.v +48 -0
  53. data/lib/public_atom_module/latency_dynamic.v +83 -0
  54. data/lib/public_atom_module/latency_long.v +84 -0
  55. data/lib/public_atom_module/latency_verb.v +52 -0
  56. data/lib/public_atom_module/once_event.sv +65 -0
  57. data/lib/public_atom_module/pipe_reg.v +93 -0
  58. data/lib/public_atom_module/pipe_reg_2write_ports.v +84 -0
  59. data/lib/public_atom_module/sim/clock_rst_verb.sv +54 -0
  60. data/lib/public_atom_module/sim/clock_rst_verc.sv +69 -0
  61. data/lib/public_atom_module/sim/latency_long_tb.sv +49 -0
  62. data/lib/public_atom_module/sim/latency_long_tb.sv.bak +49 -0
  63. data/lib/public_atom_module/sim_system_pkg.sv +4 -0
  64. data/lib/public_atom_module/synth_system_pkg.sv +4 -0
  65. data/lib/tdl/Logic/logic_edge.rb +1 -1
  66. data/lib/tdl/auto_script/import_hdl.rb +39 -4
  67. data/lib/tdl/axi4/axi4_interconnect_verb.rb +47 -10
  68. data/lib/tdl/class_hdl/hdl_always_comb.rb +4 -1
  69. data/lib/tdl/class_hdl/hdl_always_ff.rb +2 -2
  70. data/lib/tdl/class_hdl/hdl_assign.rb +7 -1
  71. data/lib/tdl/class_hdl/hdl_block_ifelse.rb +7 -7
  72. data/lib/tdl/class_hdl/hdl_foreach.rb +2 -2
  73. data/lib/tdl/class_hdl/hdl_function.rb +4 -4
  74. data/lib/tdl/class_hdl/hdl_generate.rb +4 -1
  75. data/lib/tdl/class_hdl/hdl_initial.rb +25 -3
  76. data/lib/tdl/class_hdl/hdl_module_def.rb +9 -6
  77. data/lib/tdl/class_hdl/hdl_package.rb +45 -0
  78. data/lib/tdl/class_hdl/hdl_redefine_opertor.rb +99 -27
  79. data/lib/tdl/class_hdl/hdl_struct.rb +2 -2
  80. data/lib/tdl/elements/Reset.rb +5 -9
  81. data/lib/tdl/elements/clock.rb +5 -9
  82. data/lib/tdl/elements/data_inf.rb +0 -17
  83. data/lib/tdl/elements/logic.rb +9 -31
  84. data/lib/tdl/elements/mail_box.rb +6 -1
  85. data/lib/tdl/elements/originclass.rb +17 -47
  86. data/lib/tdl/elements/parameter.rb +5 -6
  87. data/lib/tdl/examples/11_test_unit/dve.tcl +6 -153
  88. data/lib/tdl/examples/11_test_unit/exp_test_unit.sv +2 -2
  89. data/lib/tdl/examples/11_test_unit/exp_test_unit_sim.sv +9 -0
  90. data/lib/tdl/examples/11_test_unit/modules/sub_md0.sv +2 -2
  91. data/lib/tdl/examples/11_test_unit/modules/sub_md1.sv +2 -2
  92. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit.sv +1 -1
  93. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit_sim.sv +41 -0
  94. data/lib/tdl/examples/11_test_unit/tu0.sv +2 -1
  95. data/lib/tdl/examples/1_define_module/exmple_md.sv +1 -1
  96. data/lib/tdl/examples/2_hdl_class/tmp/always_comb_test.sv +3 -3
  97. data/lib/tdl/examples/2_hdl_class/tmp/always_ff_test.sv +3 -3
  98. data/lib/tdl/examples/2_hdl_class/tmp/case_test.sv +3 -3
  99. data/lib/tdl/examples/2_hdl_class/tmp/module_instance_test.sv +1 -1
  100. data/lib/tdl/examples/2_hdl_class/tmp/simple_assign_test.sv +3 -3
  101. data/lib/tdl/examples/2_hdl_class/tmp/state_case_test.sv +3 -3
  102. data/lib/tdl/examples/2_hdl_class/tmp/test_inst_sugar.sv +1 -1
  103. data/lib/tdl/examples/2_hdl_class/tmp/test_module.sv +3 -3
  104. data/lib/tdl/examples/2_hdl_class/tmp/test_module_port.sv +2 -2
  105. data/lib/tdl/examples/2_hdl_class/tmp/test_module_var.sv +7 -7
  106. data/lib/tdl/examples/2_hdl_class/tmp/test_package.sv +4 -3
  107. data/lib/tdl/examples/2_hdl_class/tmp/test_vcs_string.sv +1 -1
  108. data/lib/tdl/examples/3_hdl_sdl_instance/main_md.sv +2 -2
  109. data/lib/tdl/examples/3_hdl_sdl_instance/sdl_md.sv +1 -1
  110. data/lib/tdl/examples/6_module_with_interface/example_interface.sv +8 -8
  111. data/lib/tdl/examples/6_module_with_interface/inf_collect.sv +9 -9
  112. data/lib/tdl/examples/7_module_with_package/body_package.sv +4 -3
  113. data/lib/tdl/examples/7_module_with_package/example_pkg.sv +1 -1
  114. data/lib/tdl/examples/7_module_with_package/head_package.sv +4 -3
  115. data/lib/tdl/examples/8_top_module/dve.tcl +155 -2
  116. data/lib/tdl/examples/8_top_module/tb_test_top.sv +1 -1
  117. data/lib/tdl/examples/8_top_module/tb_test_top_sim.sv +29 -0
  118. data/lib/tdl/examples/8_top_module/test_top.sv +7 -26
  119. data/lib/tdl/examples/8_top_module/test_top_sim.sv +28 -0
  120. data/lib/tdl/examples/9_itegration/clock_manage/itgt_module_clock_manage.rb +13 -0
  121. data/lib/tdl/examples/9_itegration/clock_manage/test_clock_bb.sv +35 -0
  122. data/lib/tdl/examples/9_itegration/dve.tcl +155 -2
  123. data/lib/tdl/examples/9_itegration/tb_test_top.sv +2 -2
  124. data/lib/tdl/examples/9_itegration/tb_test_tttop.sv +1 -1
  125. data/lib/tdl/examples/9_itegration/tb_test_tttop_sim.sv +38 -0
  126. data/lib/tdl/examples/9_itegration/test_top.sv +4 -4
  127. data/lib/tdl/examples/9_itegration/test_tttop.sv +7 -38
  128. data/lib/tdl/examples/9_itegration/test_tttop_sim.sv +40 -0
  129. data/lib/tdl/examples/9_itegration/top.rb +1 -0
  130. data/lib/tdl/exlib/axis_verify.rb +4 -3
  131. data/lib/tdl/exlib/constraints_verb.rb +1 -0
  132. data/lib/tdl/exlib/itegration_verb.rb +212 -169
  133. data/lib/tdl/rebuild_ele/ele_base.rb +15 -10
  134. data/lib/tdl/sdlmodule/sdlmodule.rb +117 -51
  135. data/lib/tdl/sdlmodule/sdlmodule_arraychain.rb +1 -1
  136. data/lib/tdl/sdlmodule/sdlmodule_draw.rb +3 -3
  137. data/lib/tdl/sdlmodule/sdlmodule_instance.rb +3 -0
  138. data/lib/tdl/sdlmodule/sdlmodule_port_define.rb +6 -6
  139. data/lib/tdl/sdlmodule/sdlmodule_varible.rb +6 -6
  140. data/lib/tdl/sdlmodule/test_unit_module.rb +12 -0
  141. data/lib/tdl/sdlmodule/top_module.rb +13 -10
  142. data/lib/tdl/tdl.rb +1 -11
  143. data/lib/tdl/tdlerror/tdlerror.rb +1 -1
  144. metadata +46 -5
  145. data/CODE_OF_CONDUCT.md +0 -74
  146. data/lib/axi/AXI_stream/axis_length_split_writh_user.sv +0 -87
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: 97a6adaec566d23b4b76a1c7396bc9b03c5d9f3de0afa0f5a6caa5e923e4c80a
4
- data.tar.gz: eb0d97cd60eb072a05341d39b983084ef6d601cad45af6d1205ad6162acd5b1c
3
+ metadata.gz: 8dcdd989e2689e971fc985667c17515a0aeb471fab48e714711090b47163bdd1
4
+ data.tar.gz: c1aca96af70b0186764d985bc2b4f12bc5227c8a2ed46c9b309a05b3d6028a0e
5
5
  SHA512:
6
- metadata.gz: ae7440f8c8eaacd212546c1284f1b167fc5d48dc6f160ea8373991c9a17c139621c93e829d6c3c4cb3df06b266bf1ba6ebc439a94ba5e246cca3f8aed9ca66d1
7
- data.tar.gz: 9e9b7b861a12fb69f490ee1214975169b51582d277c19089124db252b148591c327e28639fa26c3b4720adc357b3716f0e5d7a6822cca5960e999586992365aa
6
+ metadata.gz: 24e9e8b96ea593632f9ea630711f6ce8f08dec4da1ef97b3866ec11f7853e4398dfa716529ef8be952e6cc27154514245877293b7a168e7b7e4d73f045e306f9
7
+ data.tar.gz: 427854dbe9daa78df1ffbea9491da18d6456e261812b7b0bdc2dd131b28f7c4cf379baa6a34957704a3dcfb8fb8b7ff68a7cba6b9378fdd92bc24cfb230df940
data/Rakefile CHANGED
@@ -12,3 +12,10 @@ Rake::TestTask.new(:test) do |t|
12
12
  # t.ruby_opts = ["-c"]
13
13
  # t.verbose = true
14
14
  end
15
+
16
+ desc "编译TB"
17
+ task :tb do
18
+ require_relative "./lib/axi_tdl.rb"
19
+ puts AxiTdl::VERSION
20
+ require_relative "./lib/axi/techbench/tb_axi_stream_split_channel.rb"
21
+ end
@@ -53,7 +53,7 @@ initial begin
53
53
  case(MODE)
54
54
  "BOTH_to_BOTH","BOTH_to_ONLY_READ","BOTH_to_ONLY_WRITE":
55
55
  assert(slaver.MODE =="BOTH")
56
- else $error("SLAVER AXIS MODE<%s> != BOTH",slaver.MODE);
56
+ else $error("SLAVER AXIS MODE<%0s> != BOTH",slaver.MODE);
57
57
  "ONLY_READ_to_BOTH":
58
58
  assert(slaver.MODE == "ONLY_READ")
59
59
  else $error("SLAVER AXIS MODE != ONLY_READ");
@@ -25,9 +25,9 @@ module axi4_direct_verc #(
25
25
  `parameter_string IGNORE_LSIZE = "FALSE" //(* show = "false" *)
26
26
  )(
27
27
  (* axi4_up = "true" *)
28
- axi_inf.slaver slaver,
28
+ axi_inf.slaver slaver_inf,
29
29
  (* axi4_down = "true" *)
30
- axi_inf.master master
30
+ axi_inf.master master_inf
31
31
  );
32
32
 
33
33
 
@@ -36,60 +36,60 @@ import SystemPkg::*;
36
36
  initial begin
37
37
  #(1us);
38
38
  if(IGNORE_IDSIZE == "FALSE")begin
39
- assert(slaver.IDSIZE <= master.IDSIZE) //idsize of slaver can be smaller thane master's
39
+ assert(slaver_inf.IDSIZE <= master_inf.IDSIZE) //idsize of slaver_inf can be smaller thane master_inf's
40
40
  else begin
41
41
  $error("SLAVER AXIS IDSIZE != MASTER AXIS IDSIZE");
42
42
  $finish;
43
43
  end
44
44
  end
45
45
  if(IGNORE_DSIZE == "FALSE")begin
46
- assert(slaver.DSIZE == master.DSIZE)
46
+ assert(slaver_inf.DSIZE == master_inf.DSIZE)
47
47
  else $error("SLAVER AXIS DSIZE != MASTER AXIS DSIZE");
48
48
  end
49
49
  if(IGNORE_ASIZE == "FALSE")begin
50
- assert(slaver.ASIZE == master.ASIZE)
50
+ assert(slaver_inf.ASIZE == master_inf.ASIZE)
51
51
  else $error("SLAVER AXIS ASIZE != MASTER AXIS ASIZE");
52
52
  end
53
53
  if(IGNORE_LSIZE == "FALSE")begin
54
- assert(slaver.LSIZE == master.LSIZE)
54
+ assert(slaver_inf.LSIZE == master_inf.LSIZE)
55
55
  else $error("SLAVER AXIS LSIZE != MASTER AXIS LSIZE");
56
56
  end
57
57
  case(MODE)
58
58
  "BOTH_to_BOTH","BOTH_to_ONLY_READ","BOTH_to_ONLY_WRITE":
59
- assert(slaver.MODE =="BOTH" && SLAVER_MODE=="BOTH")
60
- else $error("SLAVER AXIS MODE<%s> != BOTH",slaver.MODE);
59
+ assert(slaver_inf.MODE =="BOTH" && SLAVER_MODE=="BOTH")
60
+ else $error("SLAVER AXIS MODE<%s> != BOTH",slaver_inf.MODE);
61
61
  "ONLY_READ_to_BOTH":
62
- assert(slaver.MODE == "ONLY_READ" && SLAVER_MODE=="ONLY_READ")
62
+ assert(slaver_inf.MODE == "ONLY_READ" && SLAVER_MODE=="ONLY_READ")
63
63
  else $error("SLAVER AXIS MODE != ONLY_READ");
64
64
  "ONLY_WRITE_to_BOTH","ONLY_WRITE_to_ONLY_WRITE":
65
- assert(slaver.MODE == "ONLY_WRITE" && SLAVER_MODE=="ONLY_WRITE")
65
+ assert(slaver_inf.MODE == "ONLY_WRITE" && SLAVER_MODE=="ONLY_WRITE")
66
66
  else begin
67
67
  $error("SLAVER AXIS MODE != ONLY_WRITE");
68
68
  $finish;
69
69
  end
70
70
  "ONLY_READ_to_ONLY_READ":
71
- assert(slaver.MODE == "ONLY_READ" && SLAVER_MODE=="ONLY_READ")
71
+ assert(slaver_inf.MODE == "ONLY_READ" && SLAVER_MODE=="ONLY_READ")
72
72
  else $error("SLAVER AXIS MODE != ONLY_READ");
73
73
  default:
74
- assert(slaver.MODE == "_____")
74
+ assert(slaver_inf.MODE == "_____")
75
75
  else $error("SLAVER AXIS MODE ERROR") ;
76
76
  endcase
77
77
 
78
78
  case(MODE)
79
79
  "ONLY_WRITE_to_BOTH","ONLY_READ_to_BOTH","BOTH_to_BOTH":
80
- assert(master.MODE == "BOTH" && MASTER_MODE=="BOTH")
80
+ assert(master_inf.MODE == "BOTH" && MASTER_MODE=="BOTH")
81
81
  else $error("MASTER AXIS MODE != BOTH");
82
82
  "BOTH_to_ONLY_READ":
83
- assert(master.MODE == "ONLY_READ" && MASTER_MODE=="ONLY_READY")
83
+ assert(master_inf.MODE == "ONLY_READ" && MASTER_MODE=="ONLY_READY")
84
84
  else $error("MASTER AXIS MODE != ONLY_READ");
85
85
  "BOTH_to_ONLY_WRITE","ONLY_WRITE_to_ONLY_WRITE":
86
- assert(master.MODE == "ONLY_WRITE" && MASTER_MODE=="ONLY_WRITE")
86
+ assert(master_inf.MODE == "ONLY_WRITE" && MASTER_MODE=="ONLY_WRITE")
87
87
  else $error("MASTER AXIS MODE != ONLY_WRITE");
88
88
  "ONLY_READ_to_ONLY_READ":
89
- assert(master.MODE == "ONLY_READ" && MASTER_MODE=="ONLY_READ")
89
+ assert(master_inf.MODE == "ONLY_READ" && MASTER_MODE=="ONLY_READ")
90
90
  else $error("MASTER AXIS MODE != ONLY_READ");
91
91
  default:
92
- assert(master.MODE == "_____")
92
+ assert(master_inf.MODE == "_____")
93
93
  else $error("MASTER AXIS MODE ERROR");
94
94
  endcase
95
95
 
@@ -97,49 +97,49 @@ end
97
97
 
98
98
  generate
99
99
  if(MASTER_MODE!="ONLY_READ")begin
100
- assign master.axi_awid = slaver.axi_awid ;
101
- assign master.axi_awaddr = slaver.axi_awaddr ;
102
- assign master.axi_awlen = slaver.axi_awlen ;
103
- assign master.axi_awsize = slaver.axi_awsize ;
104
- assign master.axi_awburst = slaver.axi_awburst;
105
- assign master.axi_awlock = slaver.axi_awlock ;
106
- assign master.axi_awcache = slaver.axi_awcache;
107
- assign master.axi_awprot = slaver.axi_awprot ;
108
- assign master.axi_awqos = slaver.axi_awqos ;
109
- assign master.axi_awvalid = slaver.axi_awvalid;
110
- assign slaver.axi_awready = master.axi_awready;
111
- assign master.axi_wdata = slaver.axi_wdata ;
112
- assign master.axi_wstrb = slaver.axi_wstrb ;
113
- assign master.axi_wlast = slaver.axi_wlast ;
114
- assign master.axi_wvalid = slaver.axi_wvalid ;
115
- assign slaver.axi_wready = master.axi_wready ;
116
- assign master.axi_bready = slaver.axi_bready ;
117
- assign slaver.axi_bid = master.axi_bid ;
118
- assign slaver.axi_bresp = master.axi_bresp ;
119
- assign slaver.axi_bvalid = master.axi_bvalid ;
100
+ assign master_inf.axi_awid = slaver_inf.axi_awid ;
101
+ assign master_inf.axi_awaddr = slaver_inf.axi_awaddr ;
102
+ assign master_inf.axi_awlen = slaver_inf.axi_awlen ;
103
+ assign master_inf.axi_awsize = slaver_inf.axi_awsize ;
104
+ assign master_inf.axi_awburst = slaver_inf.axi_awburst;
105
+ assign master_inf.axi_awlock = slaver_inf.axi_awlock ;
106
+ assign master_inf.axi_awcache = slaver_inf.axi_awcache;
107
+ assign master_inf.axi_awprot = slaver_inf.axi_awprot ;
108
+ assign master_inf.axi_awqos = slaver_inf.axi_awqos ;
109
+ assign master_inf.axi_awvalid = slaver_inf.axi_awvalid;
110
+ assign slaver_inf.axi_awready = master_inf.axi_awready;
111
+ assign master_inf.axi_wdata = slaver_inf.axi_wdata ;
112
+ assign master_inf.axi_wstrb = slaver_inf.axi_wstrb ;
113
+ assign master_inf.axi_wlast = slaver_inf.axi_wlast ;
114
+ assign master_inf.axi_wvalid = slaver_inf.axi_wvalid ;
115
+ assign slaver_inf.axi_wready = master_inf.axi_wready ;
116
+ assign master_inf.axi_bready = slaver_inf.axi_bready ;
117
+ assign slaver_inf.axi_bid = master_inf.axi_bid ;
118
+ assign slaver_inf.axi_bresp = master_inf.axi_bresp ;
119
+ assign slaver_inf.axi_bvalid = master_inf.axi_bvalid ;
120
120
  end
121
121
  endgenerate
122
122
 
123
123
 
124
124
  generate
125
125
  if(MASTER_MODE!="ONLY_WRITE")begin
126
- assign master.axi_arid = slaver.axi_arid ;
127
- assign master.axi_araddr = slaver.axi_araddr ;
128
- assign master.axi_arlen = slaver.axi_arlen ;
129
- assign master.axi_arsize = slaver.axi_arsize ;
130
- assign master.axi_arburst = slaver.axi_arburst;
131
- assign master.axi_arlock = slaver.axi_arlock ;
132
- assign master.axi_arcache = slaver.axi_arcache;
133
- assign master.axi_arprot = slaver.axi_arprot ;
134
- assign master.axi_arqos = slaver.axi_arqos ;
135
- assign master.axi_arvalid = slaver.axi_arvalid;
136
- assign slaver.axi_arready = master.axi_arready;
137
- assign master.axi_rready = slaver.axi_rready ;
138
- assign slaver.axi_rid = master.axi_rid ;
139
- assign slaver.axi_rdata = master.axi_rdata ;
140
- assign slaver.axi_rresp = master.axi_rresp ;
141
- assign slaver.axi_rlast = master.axi_rlast ;
142
- assign slaver.axi_rvalid = master.axi_rvalid ;
126
+ assign master_inf.axi_arid = slaver_inf.axi_arid ;
127
+ assign master_inf.axi_araddr = slaver_inf.axi_araddr ;
128
+ assign master_inf.axi_arlen = slaver_inf.axi_arlen ;
129
+ assign master_inf.axi_arsize = slaver_inf.axi_arsize ;
130
+ assign master_inf.axi_arburst = slaver_inf.axi_arburst;
131
+ assign master_inf.axi_arlock = slaver_inf.axi_arlock ;
132
+ assign master_inf.axi_arcache = slaver_inf.axi_arcache;
133
+ assign master_inf.axi_arprot = slaver_inf.axi_arprot ;
134
+ assign master_inf.axi_arqos = slaver_inf.axi_arqos ;
135
+ assign master_inf.axi_arvalid = slaver_inf.axi_arvalid;
136
+ assign slaver_inf.axi_arready = master_inf.axi_arready;
137
+ assign master_inf.axi_rready = slaver_inf.axi_rready ;
138
+ assign slaver_inf.axi_rid = master_inf.axi_rid ;
139
+ assign slaver_inf.axi_rdata = master_inf.axi_rdata ;
140
+ assign slaver_inf.axi_rresp = master_inf.axi_rresp ;
141
+ assign slaver_inf.axi_rlast = master_inf.axi_rlast ;
142
+ assign slaver_inf.axi_rvalid = master_inf.axi_rvalid ;
143
143
  end
144
144
  endgenerate
145
145
 
@@ -4,6 +4,7 @@ require_sdl 'common_ram_wrapper.rb'
4
4
 
5
5
  require_hdl File.join(__dir__,"./full_axi4_to_axis.sv")
6
6
  require_hdl 'data_inf_c_planer_A1.sv'
7
+ data_inf_c_planer_A1.contain_hdl 'data_inf_planer_A1.sv'
7
8
 
8
9
  TdlBuild.axi4_dpram_cache(__dir__) do
9
10
  parameter.INIT_FILE ''
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-04-16 17:01:03 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -20,15 +20,15 @@ module axi4_dpram_cache #(
20
20
  //==========================================================================
21
21
  //-------- define ----------------------------------------------------------
22
22
 
23
- cm_ram_inf #(.DSIZE(a_inf.DSIZE),.RSIZE(a_inf.ASIZE),.MSIZE(a_inf.DSIZE/8)) xram_inf();
24
- axi_stream_inf #(.DSIZE(a_inf.ASIZE+a_inf.DSIZE+1),.USIZE(1)) a_axis_inf (.aclk(a_inf.axi_aclk),.aresetn(a_inf.axi_aresetn),.aclken(1'b1)) ;
25
- axi_stream_inf #(.DSIZE(a_inf.DSIZE),.USIZE(1)) a_axis_rd_inf (.aclk(a_inf.axi_aclk),.aresetn(a_inf.axi_aresetn),.aclken(1'b1)) ;
26
- data_inf_c #(.DSIZE(a_inf.ASIZE+1)) a_datac_rd_inf (.clock(a_inf.axi_aclk),.rst_n(a_inf.axi_aresetn)) ;
27
- data_inf_c #(.DSIZE(a_inf.ASIZE+a_inf.DSIZE+1)) a_datac_rd_rel_inf (.clock(a_inf.axi_aclk),.rst_n(a_inf.axi_aresetn)) ;
28
- axi_stream_inf #(.DSIZE(b_inf.ASIZE+b_inf.DSIZE+1),.USIZE(1)) b_axis_inf (.aclk(b_inf.axi_aclk),.aresetn(b_inf.axi_aresetn),.aclken(1'b1)) ;
29
- axi_stream_inf #(.DSIZE(b_inf.DSIZE),.USIZE(1)) b_axis_rd_inf (.aclk(b_inf.axi_aclk),.aresetn(b_inf.axi_aresetn),.aclken(1'b1)) ;
30
- data_inf_c #(.DSIZE(b_inf.ASIZE+1)) b_datac_rd_inf (.clock(b_inf.axi_aclk),.rst_n(b_inf.axi_aresetn)) ;
31
- data_inf_c #(.DSIZE(b_inf.ASIZE+b_inf.DSIZE+1)) b_datac_rd_rel_inf (.clock(b_inf.axi_aclk),.rst_n(b_inf.axi_aresetn)) ;
23
+ cm_ram_inf #(.DSIZE(a_inf.DSIZE),.RSIZE(a_inf.ASIZE),.MSIZE((a_inf.DSIZE / 8))) xram_inf();
24
+ axi_stream_inf #(.DSIZE(a_inf.ASIZE+a_inf.DSIZE+1),.FreqM(1.0),.USIZE(1)) a_axis_inf (.aclk(a_inf.axi_aclk),.aresetn(a_inf.axi_aresetn),.aclken(1'b1)) ;
25
+ axi_stream_inf #(.DSIZE(a_inf.DSIZE),.FreqM(1.0),.USIZE(1)) a_axis_rd_inf (.aclk(a_inf.axi_aclk),.aresetn(a_inf.axi_aresetn),.aclken(1'b1)) ;
26
+ data_inf_c #(.DSIZE(a_inf.ASIZE+1),.FreqM(1.0)) a_datac_rd_inf (.clock(a_inf.axi_aclk),.rst_n(a_inf.axi_aresetn)) ;
27
+ data_inf_c #(.DSIZE(a_inf.ASIZE+a_inf.DSIZE+1),.FreqM(1.0)) a_datac_rd_rel_inf (.clock(a_inf.axi_aclk),.rst_n(a_inf.axi_aresetn)) ;
28
+ axi_stream_inf #(.DSIZE(b_inf.ASIZE+b_inf.DSIZE+1),.FreqM(1.0),.USIZE(1)) b_axis_inf (.aclk(b_inf.axi_aclk),.aresetn(b_inf.axi_aresetn),.aclken(1'b1)) ;
29
+ axi_stream_inf #(.DSIZE(b_inf.DSIZE),.FreqM(1.0),.USIZE(1)) b_axis_rd_inf (.aclk(b_inf.axi_aclk),.aresetn(b_inf.axi_aresetn),.aclken(1'b1)) ;
30
+ data_inf_c #(.DSIZE(b_inf.ASIZE+1),.FreqM(1.0)) b_datac_rd_inf (.clock(b_inf.axi_aclk),.rst_n(b_inf.axi_aresetn)) ;
31
+ data_inf_c #(.DSIZE(b_inf.ASIZE+b_inf.DSIZE+1),.FreqM(1.0)) b_datac_rd_rel_inf (.clock(b_inf.axi_aclk),.rst_n(b_inf.axi_aresetn)) ;
32
32
  //==========================================================================
33
33
  //-------- instance --------------------------------------------------------
34
34
  full_axi4_to_axis full_axi4_to_axis_ainst(
@@ -16,7 +16,8 @@ module axi4_rd_burst_track #(
16
16
  )(
17
17
  axi_inf.mirror_rd axi4_mirror
18
18
  );
19
- import GlobalPkg::*;
19
+ // import GlobalPkg::*;
20
+ import SystemPkg::*;
20
21
 
21
22
  logic LSIZE =
22
23
  (axi4_mirror.IDSIZE>= 37 )? 9 : //
@@ -16,7 +16,8 @@ module axi4_wr_burst_track #(
16
16
  axi_inf.mirror_wr axi4_mirror
17
17
  );
18
18
 
19
- import GlobalPkg::*;
19
+ // import GlobalPkg::*;
20
+ import SystemPkg::*;
20
21
 
21
22
  logic LSIZE =
22
23
  (axi4_mirror.IDSIZE>= 37 )? 9 : //
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: xxxx.xx.xx
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -53,11 +53,11 @@ logic rd_en;
53
53
  logic fifo_empty;
54
54
  logic fifo_full;
55
55
  logic stream_en;
56
- axi_stream_inf #(.DSIZE(axis_in.DSIZE),.USIZE(1)) split_out (.aclk(axis_in.aclk),.aresetn(axis_in.aresetn),.aclken(1'b1)) ;
57
- axi_stream_inf #(.DSIZE(axis_in.DSIZE),.USIZE(1)) long_fifo_axis_out (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
58
- axi_stream_inf #(.DSIZE(axi_wr.IDSIZE + axi_wr.ASIZE + axi_wr.LSIZE),.USIZE(1)) id_add_len_in (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
59
- axi_inf #(.DSIZE(axi_wr.DSIZE),.IDSIZE(axi_wr.IDSIZE),.ASIZE(axi_wr.ASIZE),.LSIZE(axi_wr.LSIZE),.MODE(axi_wr.MODE),.ADDR_STEP(axi_wr.ADDR_STEP)) axi_wr_vcs_cp_R1977 (.axi_aclk(axi_wr.axi_aclk),.axi_aresetn(axi_wr.axi_aresetn)) ;
60
- axi_stream_inf #(.DSIZE(axis_in.DSIZE),.USIZE(1)) pipe_axis (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
56
+ axi_stream_inf #(.DSIZE(axis_in.DSIZE),.FreqM(axis_in.FreqM),.USIZE(1)) split_out (.aclk(axis_in.aclk),.aresetn(axis_in.aresetn),.aclken(1'b1)) ;
57
+ axi_stream_inf #(.DSIZE(axis_in.DSIZE),.FreqM(axis_in.FreqM),.USIZE(1)) long_fifo_axis_out (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
58
+ axi_stream_inf #(.DSIZE(axi_wr.IDSIZE + axi_wr.ASIZE + axi_wr.LSIZE),.FreqM(1.0),.USIZE(1)) id_add_len_in (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
59
+ axi_inf #(.DSIZE(axi_wr.DSIZE),.IDSIZE(axi_wr.IDSIZE),.ASIZE(axi_wr.ASIZE),.LSIZE(axi_wr.LSIZE),.MODE(axi_wr.MODE),.ADDR_STEP(axi_wr.ADDR_STEP),.FreqM(axi_wr.FreqM)) axi_wr_vcs_cp_R1138 (.axi_aclk(axi_wr.axi_aclk),.axi_aresetn(axi_wr.axi_aresetn)) ;
60
+ axi_stream_inf #(.DSIZE(axis_in.DSIZE),.FreqM(axis_in.FreqM),.USIZE(1)) pipe_axis (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
61
61
  //==========================================================================
62
62
  //-------- instance --------------------------------------------------------
63
63
  axis_length_split_with_addr #(
@@ -94,13 +94,13 @@ independent_clock_fifo #(
94
94
  axi4_wr_auxiliary_gen_without_resp axi4_wr_auxiliary_gen_without_resp_inst(
95
95
  /* output */.stream_en (stream_en ),
96
96
  /* axi_stream_inf.slaver */.id_add_len_in (id_add_len_in ),
97
- /* axi_inf.master_wr_aux_no_resp */.axi_wr_aux (axi_wr_vcs_cp_R1977 )
97
+ /* axi_inf.master_wr_aux_no_resp */.axi_wr_aux (axi_wr_vcs_cp_R1138 )
98
98
  );
99
99
  vcs_axi4_comptable #(
100
100
  .ORIGIN ("master_wr_aux_no_resp" ),
101
101
  .TO ("master_wr" )
102
- )vcs_axi4_comptable_axi_wr_aux_R874_axi_wr_inst(
103
- /* input */.origin (axi_wr_vcs_cp_R1977 ),
102
+ )vcs_axi4_comptable_axi_wr_aux_R1044_axi_wr_inst(
103
+ /* input */.origin (axi_wr_vcs_cp_R1138 ),
104
104
  /* output */.to (axi_wr )
105
105
  );
106
106
  axis_valve_with_pipe #(
@@ -26,6 +26,13 @@ module odata_pool_axi4_A3 #(
26
26
 
27
27
  `include "define_macro.sv"
28
28
 
29
+ initial begin
30
+ assert (addr_size_inf.DSIZE == 64)
31
+ else begin
32
+ $display("addr_size_inf.DSIZE<%0d> != 64",addr_size_inf.DSIZE);
33
+ end
34
+ end
35
+
29
36
  logic fifo_empty;
30
37
  logic fifo_full;
31
38
  logic [31:0] fifo_addr;
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-04-16 17:26:51 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -23,10 +23,10 @@ logic clock;
23
23
  logic rst_n;
24
24
  (* MARK_DEBUG="true" *)(* dont_touch="true" *)logic fifo_empty;
25
25
  (* MARK_DEBUG="true" *)(* dont_touch="true" *)logic fifo_full;
26
- data_inf_c #(.DSIZE(long_inf.IDSIZE+long_inf.LSIZE+long_inf.ASIZE)) pre_partition_data_inf (.clock(clock),.rst_n(rst_n)) ;
27
- data_inf_c #(.DSIZE(short_inf.IDSIZE+long_inf.LSIZE+long_inf.ASIZE)) post_partition_data_inf (.clock(clock),.rst_n(rst_n)) ;
28
- data_inf_c #(.DSIZE(1)) partition_pulse_inf (.clock(clock),.rst_n(rst_n)) ;
29
- data_inf_c #(.DSIZE(1)) wait_last_inf (.clock(clock),.rst_n(rst_n)) ;
26
+ data_inf_c #(.DSIZE(long_inf.IDSIZE+long_inf.LSIZE+long_inf.ASIZE),.FreqM(long_inf.FreqM)) pre_partition_data_inf (.clock(clock),.rst_n(rst_n)) ;
27
+ data_inf_c #(.DSIZE(short_inf.IDSIZE+long_inf.LSIZE+long_inf.ASIZE),.FreqM(long_inf.FreqM)) post_partition_data_inf (.clock(clock),.rst_n(rst_n)) ;
28
+ data_inf_c #(.DSIZE(1),.FreqM(long_inf.FreqM)) partition_pulse_inf (.clock(clock),.rst_n(rst_n)) ;
29
+ data_inf_c #(.DSIZE(1),.FreqM(long_inf.FreqM)) wait_last_inf (.clock(clock),.rst_n(rst_n)) ;
30
30
  //==========================================================================
31
31
  //-------- instance --------------------------------------------------------
32
32
  data_inf_partition #(
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ creaded: XXXX.XX.XX
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -31,7 +31,7 @@ logic one_long_stream;
31
31
  logic fifo_wr;
32
32
  logic [IDSIZE+4-1:0] curr_id ;
33
33
  logic [LSIZE-1:0] curr_length ;
34
- logic [(data_in.DSIZE-IDSIZE)-LSIZE-1:0] curr_addr ;
34
+ logic [(data_in.DSIZE - IDSIZE)-LSIZE-1:0] curr_addr ;
35
35
  logic [LSIZE-1:0] wr_length ;
36
36
  (* MARK_DEBUG="true" *)(* dont_touch="true" *)logic fifo_full;
37
37
  (* MARK_DEBUG="true" *)(* dont_touch="true" *)logic fifo_empty;
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: XXXX.XX.XX
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -53,11 +53,11 @@ logic fifo_rd_en;
53
53
  logic fifo_empty;
54
54
  logic fifo_full;
55
55
  logic stream_en;
56
- axi_stream_inf #(.DSIZE(axis_in.DSIZE),.USIZE(1)) split_out (.aclk(axis_in.aclk),.aresetn(axis_in.aresetn),.aclken(1'b1)) ;
57
- axi_stream_inf #(.DSIZE(axis_in.DSIZE),.USIZE(1)) fifo_axis (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
58
- axi_stream_inf #(.DSIZE(axi_wr.IDSIZE + axi_wr.ASIZE + axi_wr.LSIZE),.USIZE(1)) id_add_len_in (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
59
- axi_inf #(.DSIZE(axi_wr.DSIZE),.IDSIZE(axi_wr.IDSIZE),.ASIZE(axi_wr.ASIZE),.LSIZE(axi_wr.LSIZE),.MODE(axi_wr.MODE),.ADDR_STEP(axi_wr.ADDR_STEP)) axi_wr_vcs_cp_R236 (.axi_aclk(axi_wr.axi_aclk),.axi_aresetn(axi_wr.axi_aresetn)) ;
60
- axi_stream_inf #(.DSIZE(axis_in.DSIZE),.USIZE(1)) pipe_axis (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
56
+ axi_stream_inf #(.DSIZE(axis_in.DSIZE),.FreqM(axis_in.FreqM),.USIZE(1)) split_out (.aclk(axis_in.aclk),.aresetn(axis_in.aresetn),.aclken(1'b1)) ;
57
+ axi_stream_inf #(.DSIZE(axis_in.DSIZE),.FreqM(axis_in.FreqM),.USIZE(1)) fifo_axis (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
58
+ axi_stream_inf #(.DSIZE(axi_wr.IDSIZE + axi_wr.ASIZE + axi_wr.LSIZE),.FreqM(1.0),.USIZE(1)) id_add_len_in (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
59
+ axi_inf #(.DSIZE(axi_wr.DSIZE),.IDSIZE(axi_wr.IDSIZE),.ASIZE(axi_wr.ASIZE),.LSIZE(axi_wr.LSIZE),.MODE(axi_wr.MODE),.ADDR_STEP(axi_wr.ADDR_STEP),.FreqM(axi_wr.FreqM)) axi_wr_vcs_cp_R555 (.axi_aclk(axi_wr.axi_aclk),.axi_aresetn(axi_wr.axi_aresetn)) ;
60
+ axi_stream_inf #(.DSIZE(axis_in.DSIZE),.FreqM(axis_in.FreqM),.USIZE(1)) pipe_axis (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
61
61
  //==========================================================================
62
62
  //-------- instance --------------------------------------------------------
63
63
  axis_length_split_with_addr #(
@@ -93,13 +93,13 @@ independent_clock_fifo #(
93
93
  axi4_wr_auxiliary_gen_without_resp axi4_wr_auxiliary_gen_without_resp_inst(
94
94
  /* output */.stream_en (stream_en ),
95
95
  /* axi_stream_inf.slaver */.id_add_len_in (id_add_len_in ),
96
- /* axi_inf.master_wr_aux_no_resp */.axi_wr_aux (axi_wr_vcs_cp_R236 )
96
+ /* axi_inf.master_wr_aux_no_resp */.axi_wr_aux (axi_wr_vcs_cp_R555 )
97
97
  );
98
98
  vcs_axi4_comptable #(
99
99
  .ORIGIN ("master_wr_aux_no_resp" ),
100
100
  .TO ("master_wr" )
101
- )vcs_axi4_comptable_axi_wr_aux_R372_axi_wr_inst(
102
- /* input */.origin (axi_wr_vcs_cp_R236 ),
101
+ )vcs_axi4_comptable_axi_wr_aux_R478_axi_wr_inst(
102
+ /* input */.origin (axi_wr_vcs_cp_R555 ),
103
103
  /* output */.to (axi_wr )
104
104
  );
105
105
  axis_valve_with_pipe #(
@@ -0,0 +1,154 @@
1
+ /**********************************************
2
+ _______________________________________
3
+ ___________ Cook Darwin __________
4
+ _______________________________________
5
+ descript:
6
+ author : Cook.Darwin
7
+ Version: VERA.0.0
8
+ created: 2021-04-16 17:01:05 +0800
9
+ madified:
10
+ ***********************************************/
11
+ `timescale 1ns/1ps
12
+
13
+ module axi_stream_split_channel (
14
+ input [15:0] split_len,
15
+ axi_stream_inf.slaver origin_inf,
16
+ axi_stream_inf.master first_inf,
17
+ axi_stream_inf.master end_inf
18
+ );
19
+
20
+ //==========================================================================
21
+ //-------- define ----------------------------------------------------------
22
+ logic clock;
23
+ logic rst_n;
24
+ logic addr;
25
+ logic new_last;
26
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) origin_inf_add_last (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
27
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) sub_origin_inf [1:0] (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
28
+ //==========================================================================
29
+ //-------- instance --------------------------------------------------------
30
+ axi_stream_interconnect_S2M #(
31
+ .NUM (2 )
32
+ )axi_stream_interconnect_S2M_inst(
33
+ /* input */.addr (addr ),
34
+ /* axi_stream_inf.slaver */.s00 (origin_inf_add_last ),
35
+ /* axi_stream_inf.master */.m00 (sub_origin_inf )
36
+ );
37
+ //==========================================================================
38
+ //-------- expression ------------------------------------------------------
39
+
40
+ axi_stream_inf #(.DSIZE(first_inf.DSIZE)) sub_first_inf[1-1:0](.aclk(first_inf.aclk),.aresetn(first_inf.aresetn),.aclken(1'b1));
41
+
42
+
43
+ axis_direct axis_direct_first_inf_inst0 (
44
+ /* axi_stream_inf.slaver*/ .slaver (sub_origin_inf[0]),
45
+ /* axi_stream_inf.master*/ .master (sub_first_inf[0])
46
+ );
47
+
48
+
49
+ axi_stream_inf #(.DSIZE(end_inf.DSIZE)) sub_end_inf[1-1:0](.aclk(end_inf.aclk),.aresetn(end_inf.aresetn),.aclken(1'b1));
50
+
51
+
52
+ axis_direct axis_direct_end_inf_inst0 (
53
+ /* axi_stream_inf.slaver*/ .slaver (sub_origin_inf[1]),
54
+ /* axi_stream_inf.master*/ .master (sub_end_inf[0])
55
+ );
56
+ //-------- CLOCKs Total 3 ----------------------
57
+ //--->> CheckClock <<----------------
58
+ logic cc_done_7,cc_same_7;
59
+ integer cc_afreq_7,cc_bfreq_7;
60
+ ClockSameDomain CheckPClock_inst_7(
61
+ /* input */ .aclk (origin_inf.aclk ),
62
+ /* input */ .bclk (first_inf.aclk ),
63
+ /* output logic */ .done (cc_done_7),
64
+ /* output logic */ .same (cc_same_7),
65
+ /* output integer */ .aFreqK (cc_afreq_7),
66
+ /* output integer */ .bFreqK (cc_bfreq_7)
67
+ );
68
+
69
+ initial begin
70
+ wait(cc_done_7);
71
+ assert(cc_same_7)
72
+ else begin
73
+ $error("--- Error : `axi_stream_split_channel` clock is not same, origin_inf.aclk< %0f M> != first_inf.aclk<%0f M>",1000000.0/cc_afreq_7, 1000000.0/cc_bfreq_7);
74
+ repeat(10)begin
75
+ @(posedge origin_inf.aclk);
76
+ end
77
+ $stop;
78
+ end
79
+ end
80
+ //---<< CheckClock >>----------------
81
+
82
+ //--->> CheckClock <<----------------
83
+ logic cc_done_8,cc_same_8;
84
+ integer cc_afreq_8,cc_bfreq_8;
85
+ ClockSameDomain CheckPClock_inst_8(
86
+ /* input */ .aclk (origin_inf.aclk ),
87
+ /* input */ .bclk (end_inf.aclk ),
88
+ /* output logic */ .done (cc_done_8),
89
+ /* output logic */ .same (cc_same_8),
90
+ /* output integer */ .aFreqK (cc_afreq_8),
91
+ /* output integer */ .bFreqK (cc_bfreq_8)
92
+ );
93
+
94
+ initial begin
95
+ wait(cc_done_8);
96
+ assert(cc_same_8)
97
+ else begin
98
+ $error("--- Error : `axi_stream_split_channel` clock is not same, origin_inf.aclk< %0f M> != end_inf.aclk<%0f M>",1000000.0/cc_afreq_8, 1000000.0/cc_bfreq_8);
99
+ repeat(10)begin
100
+ @(posedge origin_inf.aclk);
101
+ end
102
+ $stop;
103
+ end
104
+ end
105
+ //---<< CheckClock >>----------------
106
+
107
+ //======== CLOCKs Total 3 ======================
108
+ assign clock = origin_inf.aclk;
109
+ assign rst_n = origin_inf.aresetn;
110
+
111
+ always_ff@(posedge clock,negedge rst_n) begin
112
+ if(~rst_n)begin
113
+ addr <= 1'b0;
114
+ new_last <= 1'b0;
115
+ end
116
+ else begin
117
+ if(origin_inf.axis_tvalid && origin_inf.axis_tready)begin
118
+ new_last <= origin_inf.axis_tcnt==(split_len-2);
119
+ end
120
+ else begin
121
+ new_last <= new_last;
122
+ end
123
+ if(origin_inf.axis_tvalid && origin_inf.axis_tready && origin_inf.axis_tlast)begin
124
+ addr <= 1'b0;
125
+ end
126
+ else if(origin_inf.axis_tcnt==(split_len-1)&&origin_inf.axis_tvalid && origin_inf.axis_tready)begin
127
+ addr <= 1'b1;
128
+ end
129
+ else begin
130
+ addr <= addr;
131
+ end
132
+ end
133
+ end
134
+
135
+ assign origin_inf_add_last.axis_tdata = origin_inf.axis_tdata;
136
+ assign origin_inf_add_last.axis_tvalid = origin_inf.axis_tvalid;
137
+ assign origin_inf_add_last.axis_tuser = origin_inf.axis_tuser;
138
+ assign origin_inf_add_last.axis_tkeep = origin_inf.axis_tkeep;
139
+ assign origin_inf_add_last.axis_tlast = origin_inf.axis_tlast|new_last;
140
+ assign origin_inf.axis_tready = origin_inf_add_last.axis_tready;
141
+
142
+
143
+ axis_direct axis_direct_first_inf_instMM (
144
+ /* axi_stream_inf.slaver*/ .slaver (sub_first_inf[0]),
145
+ /* axi_stream_inf.master*/ .master (first_inf)
146
+ );
147
+
148
+
149
+ axis_direct axis_direct_end_inf_instMM (
150
+ /* axi_stream_inf.slaver*/ .slaver (sub_end_inf[0]),
151
+ /* axi_stream_inf.master*/ .master (end_inf)
152
+ );
153
+
154
+ endmodule