axi_tdl 0.0.19 → 0.1.7

Sign up to get free protection for your applications and to get access to all the features.
Files changed (146) hide show
  1. checksums.yaml +4 -4
  2. data/Rakefile +7 -0
  3. data/lib/axi/AXI4/axi4_direct_A1.sv +1 -1
  4. data/lib/axi/AXI4/axi4_direct_verc.sv +54 -54
  5. data/lib/axi/AXI4/axi4_dpram_cache.rb +1 -0
  6. data/lib/axi/AXI4/axi4_dpram_cache.sv +10 -10
  7. data/lib/axi/AXI4/axi4_rd_burst_track.sv +2 -1
  8. data/lib/axi/AXI4/axi4_wr_burst_track.sv +2 -1
  9. data/lib/axi/AXI4/axis_to_axi4_wr.sv +9 -9
  10. data/lib/axi/AXI4/odata_pool_axi4_A3.sv +7 -0
  11. data/lib/axi/AXI4/packet_partition/axi4_partition_rd_verb.sv +5 -5
  12. data/lib/axi/AXI4/packet_partition/data_inf_partition.sv +2 -2
  13. data/lib/axi/AXI4/wide_axis_to_axi4_wr.sv +9 -9
  14. data/lib/axi/AXI_stream/axi_stream_split_channel.sv +154 -0
  15. data/lib/axi/AXI_stream/axis_head_cut_verc.sv +242 -0
  16. data/lib/axi/AXI_stream/axis_insert_copy.sv +79 -0
  17. data/lib/axi/AXI_stream/axis_pipe_sync_seam.sv +48 -0
  18. data/lib/axi/AXI_stream/axis_rom_contect_sim.sv +113 -0
  19. data/lib/axi/AXI_stream/axis_sim_master_model.rb +2 -0
  20. data/lib/axi/AXI_stream/axis_sim_master_model.sv +46 -0
  21. data/lib/axi/AXI_stream/axis_split_channel_verb.sv +62 -0
  22. data/lib/axi/AXI_stream/data_width/axis_width_convert_verb.sv +50 -0
  23. data/lib/axi/common/common_ram_sim_wrapper.sv +1 -1
  24. data/lib/axi/common/common_ram_wrapper.sv +1 -1
  25. data/lib/axi/common/test_write_mem.sv +1 -1
  26. data/lib/axi/data_interface/data_inf_c/data_c_pipe_sync_seam.sv +13 -13
  27. data/lib/axi/data_interface/data_inf_c/data_c_sim_master_model.sv +14 -11
  28. data/lib/axi/platform_ip/fifo_36kb_long.sv +1 -1
  29. data/lib/axi/platform_ip/long_fifo_verb.sv +1 -1
  30. data/lib/axi/platform_ip/wide_fifo.sv +1 -1
  31. data/lib/axi/platform_ip/xilinx_fifo_verb.sv +1 -1
  32. data/lib/axi/platform_ip/xilinx_fifo_verc.sv +2 -1
  33. data/lib/axi/techbench/tb_axi_stream_split_channel.rb +2 -1
  34. data/lib/axi/techbench/tb_axi_stream_split_channel.sv +46 -45
  35. data/lib/axi_tdl.rb +31 -1
  36. data/lib/axi_tdl/version.rb +1 -1
  37. data/lib/public_atom_module/CheckPClock.sv +53 -0
  38. data/lib/public_atom_module/LICENSE.md +674 -0
  39. data/lib/public_atom_module/altera_xilinx_always_block_sw.rb +57 -0
  40. data/lib/public_atom_module/bits_decode.sv +71 -0
  41. data/lib/public_atom_module/bits_decode_verb.sv +71 -0
  42. data/lib/public_atom_module/bits_decode_verb_sdl.rb +24 -0
  43. data/lib/public_atom_module/broaden.v +43 -0
  44. data/lib/public_atom_module/broaden_and_cross_clk.v +47 -0
  45. data/lib/public_atom_module/ceiling.v +39 -0
  46. data/lib/public_atom_module/ceiling_A1.v +42 -0
  47. data/lib/public_atom_module/clock_rst.sv +64 -0
  48. data/lib/public_atom_module/cross_clk_sync.v +37 -0
  49. data/lib/public_atom_module/edge_generator.v +50 -0
  50. data/lib/public_atom_module/flooring.v +36 -0
  51. data/lib/public_atom_module/latch_data.v +30 -0
  52. data/lib/public_atom_module/latency.v +48 -0
  53. data/lib/public_atom_module/latency_dynamic.v +83 -0
  54. data/lib/public_atom_module/latency_long.v +84 -0
  55. data/lib/public_atom_module/latency_verb.v +52 -0
  56. data/lib/public_atom_module/once_event.sv +65 -0
  57. data/lib/public_atom_module/pipe_reg.v +93 -0
  58. data/lib/public_atom_module/pipe_reg_2write_ports.v +84 -0
  59. data/lib/public_atom_module/sim/clock_rst_verb.sv +54 -0
  60. data/lib/public_atom_module/sim/clock_rst_verc.sv +69 -0
  61. data/lib/public_atom_module/sim/latency_long_tb.sv +49 -0
  62. data/lib/public_atom_module/sim/latency_long_tb.sv.bak +49 -0
  63. data/lib/public_atom_module/sim_system_pkg.sv +4 -0
  64. data/lib/public_atom_module/synth_system_pkg.sv +4 -0
  65. data/lib/tdl/Logic/logic_edge.rb +1 -1
  66. data/lib/tdl/auto_script/import_hdl.rb +39 -4
  67. data/lib/tdl/axi4/axi4_interconnect_verb.rb +47 -10
  68. data/lib/tdl/class_hdl/hdl_always_comb.rb +4 -1
  69. data/lib/tdl/class_hdl/hdl_always_ff.rb +2 -2
  70. data/lib/tdl/class_hdl/hdl_assign.rb +7 -1
  71. data/lib/tdl/class_hdl/hdl_block_ifelse.rb +7 -7
  72. data/lib/tdl/class_hdl/hdl_foreach.rb +2 -2
  73. data/lib/tdl/class_hdl/hdl_function.rb +4 -4
  74. data/lib/tdl/class_hdl/hdl_generate.rb +4 -1
  75. data/lib/tdl/class_hdl/hdl_initial.rb +25 -3
  76. data/lib/tdl/class_hdl/hdl_module_def.rb +9 -6
  77. data/lib/tdl/class_hdl/hdl_package.rb +45 -0
  78. data/lib/tdl/class_hdl/hdl_redefine_opertor.rb +99 -27
  79. data/lib/tdl/class_hdl/hdl_struct.rb +2 -2
  80. data/lib/tdl/elements/Reset.rb +5 -9
  81. data/lib/tdl/elements/clock.rb +5 -9
  82. data/lib/tdl/elements/data_inf.rb +0 -17
  83. data/lib/tdl/elements/logic.rb +9 -31
  84. data/lib/tdl/elements/mail_box.rb +6 -1
  85. data/lib/tdl/elements/originclass.rb +17 -47
  86. data/lib/tdl/elements/parameter.rb +5 -6
  87. data/lib/tdl/examples/11_test_unit/dve.tcl +6 -153
  88. data/lib/tdl/examples/11_test_unit/exp_test_unit.sv +2 -2
  89. data/lib/tdl/examples/11_test_unit/exp_test_unit_sim.sv +9 -0
  90. data/lib/tdl/examples/11_test_unit/modules/sub_md0.sv +2 -2
  91. data/lib/tdl/examples/11_test_unit/modules/sub_md1.sv +2 -2
  92. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit.sv +1 -1
  93. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit_sim.sv +41 -0
  94. data/lib/tdl/examples/11_test_unit/tu0.sv +2 -1
  95. data/lib/tdl/examples/1_define_module/exmple_md.sv +1 -1
  96. data/lib/tdl/examples/2_hdl_class/tmp/always_comb_test.sv +3 -3
  97. data/lib/tdl/examples/2_hdl_class/tmp/always_ff_test.sv +3 -3
  98. data/lib/tdl/examples/2_hdl_class/tmp/case_test.sv +3 -3
  99. data/lib/tdl/examples/2_hdl_class/tmp/module_instance_test.sv +1 -1
  100. data/lib/tdl/examples/2_hdl_class/tmp/simple_assign_test.sv +3 -3
  101. data/lib/tdl/examples/2_hdl_class/tmp/state_case_test.sv +3 -3
  102. data/lib/tdl/examples/2_hdl_class/tmp/test_inst_sugar.sv +1 -1
  103. data/lib/tdl/examples/2_hdl_class/tmp/test_module.sv +3 -3
  104. data/lib/tdl/examples/2_hdl_class/tmp/test_module_port.sv +2 -2
  105. data/lib/tdl/examples/2_hdl_class/tmp/test_module_var.sv +7 -7
  106. data/lib/tdl/examples/2_hdl_class/tmp/test_package.sv +4 -3
  107. data/lib/tdl/examples/2_hdl_class/tmp/test_vcs_string.sv +1 -1
  108. data/lib/tdl/examples/3_hdl_sdl_instance/main_md.sv +2 -2
  109. data/lib/tdl/examples/3_hdl_sdl_instance/sdl_md.sv +1 -1
  110. data/lib/tdl/examples/6_module_with_interface/example_interface.sv +8 -8
  111. data/lib/tdl/examples/6_module_with_interface/inf_collect.sv +9 -9
  112. data/lib/tdl/examples/7_module_with_package/body_package.sv +4 -3
  113. data/lib/tdl/examples/7_module_with_package/example_pkg.sv +1 -1
  114. data/lib/tdl/examples/7_module_with_package/head_package.sv +4 -3
  115. data/lib/tdl/examples/8_top_module/dve.tcl +155 -2
  116. data/lib/tdl/examples/8_top_module/tb_test_top.sv +1 -1
  117. data/lib/tdl/examples/8_top_module/tb_test_top_sim.sv +29 -0
  118. data/lib/tdl/examples/8_top_module/test_top.sv +7 -26
  119. data/lib/tdl/examples/8_top_module/test_top_sim.sv +28 -0
  120. data/lib/tdl/examples/9_itegration/clock_manage/itgt_module_clock_manage.rb +13 -0
  121. data/lib/tdl/examples/9_itegration/clock_manage/test_clock_bb.sv +35 -0
  122. data/lib/tdl/examples/9_itegration/dve.tcl +155 -2
  123. data/lib/tdl/examples/9_itegration/tb_test_top.sv +2 -2
  124. data/lib/tdl/examples/9_itegration/tb_test_tttop.sv +1 -1
  125. data/lib/tdl/examples/9_itegration/tb_test_tttop_sim.sv +38 -0
  126. data/lib/tdl/examples/9_itegration/test_top.sv +4 -4
  127. data/lib/tdl/examples/9_itegration/test_tttop.sv +7 -38
  128. data/lib/tdl/examples/9_itegration/test_tttop_sim.sv +40 -0
  129. data/lib/tdl/examples/9_itegration/top.rb +1 -0
  130. data/lib/tdl/exlib/axis_verify.rb +4 -3
  131. data/lib/tdl/exlib/constraints_verb.rb +1 -0
  132. data/lib/tdl/exlib/itegration_verb.rb +212 -169
  133. data/lib/tdl/rebuild_ele/ele_base.rb +15 -10
  134. data/lib/tdl/sdlmodule/sdlmodule.rb +117 -51
  135. data/lib/tdl/sdlmodule/sdlmodule_arraychain.rb +1 -1
  136. data/lib/tdl/sdlmodule/sdlmodule_draw.rb +3 -3
  137. data/lib/tdl/sdlmodule/sdlmodule_instance.rb +3 -0
  138. data/lib/tdl/sdlmodule/sdlmodule_port_define.rb +6 -6
  139. data/lib/tdl/sdlmodule/sdlmodule_varible.rb +6 -6
  140. data/lib/tdl/sdlmodule/test_unit_module.rb +12 -0
  141. data/lib/tdl/sdlmodule/top_module.rb +13 -10
  142. data/lib/tdl/tdl.rb +1 -11
  143. data/lib/tdl/tdlerror/tdlerror.rb +1 -1
  144. metadata +46 -5
  145. data/CODE_OF_CONDUCT.md +0 -74
  146. data/lib/axi/AXI_stream/axis_length_split_writh_user.sv +0 -87
@@ -128,7 +128,7 @@ module TdlSpace
128
128
  define_method(tdl_key) do
129
129
  rel = self.instance_variable_get("@_#{tdl_key}_")
130
130
  unless rel
131
- "#{inst_name}.#{hdl_key}".to_nq
131
+ TdlSpace::ArrayChain.create(obj: "#{inst_name}.#{hdl_key}".to_nq, belong_to_module: belong_to_module)
132
132
  else
133
133
  rel
134
134
  end
@@ -152,9 +152,9 @@ module TdlSpace
152
152
  define_method('clock') do
153
153
  rel = self.instance_variable_get("@_#{tdl_key}_")
154
154
  if !dimension || dimension.empty?
155
- rel || TdlSpace::ArrayChain.new("#{self.inst_name}.#{hdl_key}")
155
+ rel || TdlSpace::ArrayChain.create(obj:"#{self.inst_name}.#{hdl_key}", belong_to_module: belong_to_module)
156
156
  else
157
- rel || TdlSpace::ArrayChain.new("#{self.inst_name}[0].#{hdl_key}")
157
+ rel || TdlSpace::ArrayChain.create(obj:"#{self.inst_name}[0].#{hdl_key}", belong_to_module: belong_to_module)
158
158
  end
159
159
  end
160
160
 
@@ -171,7 +171,7 @@ module TdlSpace
171
171
  self.class_exec(tdl_key) do |tdl_key|
172
172
  define_method('reset') do
173
173
  rel = self.instance_variable_get("@_#{tdl_key}_")
174
- rel || TdlSpace::ArrayChain.new("#{self.inst_name}.#{hdl_key}")
174
+ rel || TdlSpace::ArrayChain.create(obj:"#{self.inst_name}.#{hdl_key}", belong_to_module: belong_to_module)
175
175
  end
176
176
 
177
177
  define_method("reset=") do |arg|
@@ -200,7 +200,7 @@ module TdlSpace
200
200
  self.class_exec(tdl_key) do |tdl_key|
201
201
  define_method(tdl_key) do
202
202
  rel = self.instance_variable_get("@_#{tdl_key}_") || default_value
203
- rel || TdlSpace::ArrayChain.new("#{self.inst_name}.#{hdl_key}")
203
+ rel || TdlSpace::ArrayChain.create(obj:"#{self.inst_name}.#{hdl_key}", belong_to_module: belong_to_module)
204
204
  end
205
205
 
206
206
  define_method("#{tdl_key}=") do |arg|
@@ -215,7 +215,7 @@ module TdlSpace
215
215
  _io_map(e,e,nil,'sdata',nil)
216
216
  self.class_exec(e) do |e|
217
217
  define_method(e) do
218
- TdlSpace::ArrayChain.new("#{self.inst_name}.#{e}")
218
+ TdlSpace::ArrayChain.create(obj:"#{self.inst_name}.#{e}", belong_to_module: belong_to_module)
219
219
  end
220
220
  end
221
221
  end
@@ -225,7 +225,7 @@ module TdlSpace
225
225
  _io_map(name,name,nil,'pdata',dimension)
226
226
  self.class_exec(name) do |e|
227
227
  define_method(e) do
228
- TdlSpace::ArrayChain.new("#{self.inst_name}.#{e}")
228
+ TdlSpace::ArrayChain.create(obj:"#{self.inst_name}.#{e}", belong_to_module: belong_to_module)
229
229
  end
230
230
  end
231
231
  end
@@ -374,7 +374,7 @@ module TdlSpace
374
374
  e.slaver = true
375
375
  end
376
376
  end
377
- TdlSpace::ArrayChain.new(self,a)
377
+ TdlSpace::ArrayChain.create(obj: self,lchain: a, belong_to_module: belong_to_module)
378
378
  end
379
379
 
380
380
  def instance(exp_len: nil)
@@ -432,11 +432,16 @@ module TdlSpace
432
432
  vv = rel || v[1]
433
433
  # vv = self.send(k) || v[1]
434
434
  ## 不例化 FreqM,FreqM只是为了SDL兼容
435
- if vv && k.to_s != 'freqM'
435
+ # if vv && k.to_s != 'freqM'
436
+ if vv
436
437
  if vv.instance_of?(String)
437
438
  str << ".#{v[0]}(\"#{vv}\")"
438
439
  else
439
- str << ".#{v[0]}(#{vv})"
440
+ if k.to_s == 'freqM'
441
+ str << ".#{v[0]}(#{(respond_to?(:clock) && self.clock.is_a?(Clock) && self.clock.freqM ) || vv})"
442
+ else
443
+ str << ".#{v[0]}(#{vv})"
444
+ end
440
445
  end
441
446
  end
442
447
  end
@@ -74,7 +74,7 @@ class SdlModule
74
74
  if e.is_a? String
75
75
  next
76
76
  end
77
- tmp = e.new(name:"#{head}_NC")
77
+ tmp = e.new(name:"#{head}_NC",belong_to_module: self)
78
78
  tmp.belong_to_module = self
79
79
  tmp.ghost = true
80
80
  instance_variable_set("@#{head}_NC",tmp)
@@ -127,16 +127,6 @@ class SdlModule
127
127
  @@allmodule << self
128
128
  @module_name = name
129
129
  @real_sv_path = File.join(@out_sv_path,"#{@module_name}.sv") if @out_sv_path
130
- # @port_clocks = []
131
- # @port_resets = []
132
- # @port_params = []
133
- # @port_logics = []
134
- # @port_datainfs = []
135
- # @port_datainf_c_s = []
136
- # @port_videoinfs = []
137
- # @port_axisinfs = []
138
- # @port_axi4infs = []
139
- # @port_axilinfs = []
140
130
 
141
131
  @port_clocks = Hash.new
142
132
  @port_resets = Hash.new
@@ -164,52 +154,16 @@ class SdlModule
164
154
  # self.instance_variable_set("#{head_str}_NC",tmp)
165
155
  end
166
156
  create_ghost
167
- # @super_modules = []
168
- # @Logic_collect = []
169
- # @Logic_inst = []
170
- # @Logic_draw = []
171
- #
172
- # @Clock_collect = []
173
- # @Clock_inst = []
174
- # @Clock_draw = []
175
- #
176
- # @Reset_collect = []
177
- # @Reset_inst = []
178
- # @Reset_draw = []
179
- #
180
- # @Parameter_collect = []
181
- # @Parameter_inst = []
182
- # @Parameter_draw = []
183
- #
184
- # @DataInf_collect = []
185
- # @DataInf_inst = []
186
- # @DataInf_draw = []
187
- #
188
- # @DataInf_C_collect = []
189
- # @DataInf_C_inst = []
190
- # @DataInf_C_draw = []
191
- #
192
- # @AxiStream_collect = []
193
- # @AxiStream_inst = []
194
- # @AxiStream_draw = []
195
- #
196
- # @AxiLite_collect = []
197
- # @AxiLite_inst = []
198
- # @AxiLite_draw = []
199
- #
200
- # @VideoInf_collect = []
201
- # @VideoInf_inst = []
202
- # @VideoInf_draw = []
203
- #
204
- # @Axi4_collect = []
205
- # @Axi4_inst = []
206
- # @Axi4_draw = []
157
+
207
158
  if block_given?
208
159
  yield(self)
209
160
  end
210
161
 
211
162
  @instanced_and_parent_module ||= Hash.new
212
163
  @instance_and_children_module ||= Hash.new
164
+
165
+ ## 记录当前模块被例化的 具体对象
166
+ @instances =[]
213
167
  end
214
168
 
215
169
  public
@@ -473,3 +427,115 @@ class SdlModule
473
427
  end
474
428
  end
475
429
  end
430
+
431
+ class SdlModule
432
+
433
+ ## 获取信号的绝对路径
434
+ def path_refs(&block)
435
+ collects = []
436
+ if self != TopModule.current.techbench
437
+ @instances.each do |it|
438
+ it.origin.parents_inst_tree do |tree|
439
+ ll = ["$root"]
440
+ rt = tree.reverse
441
+ rt.each_index do |index|
442
+ if rt[index].respond_to? :module_name
443
+ ll << rt[index].module_name
444
+ else
445
+ ll << rt[index].inst_name
446
+ end
447
+ end
448
+ # ll << it.inst_name
449
+ new_name = ll.join('.').to_nq
450
+ if block_given?
451
+ if yield(new_name)
452
+ collects << new_name
453
+ end
454
+ else
455
+ collects << new_name
456
+ end
457
+ end
458
+ end
459
+ else
460
+ collects = ["$root.#{self.module_name}".to_nq]
461
+ end
462
+ collects
463
+ end
464
+
465
+ ## 定义获取 信号的绝对路径
466
+ def root_ref(&block)
467
+ ClassHDL::AssignDefOpertor.with_rollback_opertors(:old) do
468
+ rels = path_refs(&block)
469
+ if block_given?
470
+ sst = "block given"
471
+ else
472
+ sst = "no block"
473
+ end
474
+
475
+ if rels.size == 1
476
+ rels[0]
477
+ elsif rels.size == 0
478
+ raise TdlError.new "#{module_name} Cant find root ref {#{sst}}"
479
+ else
480
+ raise TdlError.new "#{module_name} Find multi root refs {#{sst}} \n#{rels.join("\n")}\n"
481
+ end
482
+ end
483
+ end
484
+
485
+ end
486
+
487
+ ## 迭代 本模块及本模块的子模块
488
+ class SdlModule
489
+
490
+ def all_ref_sdlmodules(&block)
491
+ sdlms = instance_and_children_module.values.uniq
492
+ sdlms = sdlms.map do |e|
493
+ if e.instance_and_children_module.any?
494
+ e.all_ref_sdlmodules(&block)
495
+ else
496
+ e
497
+ end
498
+ end
499
+ sdlms = sdlms.unshift(self)
500
+ sdlms = sdlms.flatten
501
+ sdlms.map(&block)
502
+ end
503
+
504
+ end
505
+
506
+ ### 有时候 sdlmodule 引用的是 HDL文件,为了能够 正常引用到 需要特殊处理
507
+ class SdlModule
508
+ def contain_hdl(*hdl_names)
509
+ __contain_hdl__(false,*hdl_names)
510
+ end
511
+
512
+ def __contain_hdl__(recreate,*hdl_names)
513
+ hdl_names = hdl_names.map do |e|
514
+
515
+ if e.include?("/") || e.include?("\\")
516
+ e
517
+ else
518
+
519
+ ee = find_first_hdl_path(e)
520
+ if recreate && !ee
521
+ raise TdlError.new("Cant find #{e} in tdl_paths")
522
+ end
523
+ ee || e
524
+ end
525
+ end
526
+ unless recreate
527
+ @__contain_hdl__ ||= []
528
+ @__contain_hdl__ += hdl_names
529
+ else
530
+ @__contain_hdl__ = hdl_names
531
+ end
532
+ @__contain_hdl__.uniq!
533
+ @__contain_hdl__
534
+ end
535
+
536
+ def require_hdl(*hdl_path)
537
+ hdl_path.each do |hp|
538
+ __require_hdl__(hp,self)
539
+ end
540
+ end
541
+ end
@@ -88,7 +88,7 @@ module TdlSpace
88
88
  dimension = []
89
89
  end
90
90
  name = to_inp(name)
91
- belong_to_module.Def.logic(name: name,dsize: @chain.last || 1,dimension: dimension,type: @type || 'logic')
91
+ rel = belong_to_module.Def.logic(name: name,dsize: @chain.last || 1,dimension: dimension,type: @type || 'logic')
92
92
  end
93
93
 
94
94
  def wire
@@ -52,19 +52,19 @@ class SdlModule
52
52
  pre_inst_stack_call
53
53
  @out_sv_path ||= '..\..\tdl\test_sdlmodule'
54
54
  if File.exist?(File.join(@out_sv_path,"#{module_name}.sv"))
55
- old_str = File.open(File.join(@out_sv_path,"#{module_name}.sv")).read.gsub(/\/\*.*?\*\//m,"").gsub(/\/\/.*/,"").sub(/^`timescale .*/,"").strip
55
+ old_str = File.open(File.join(@out_sv_path,"#{module_name}.sv")).read.sub(/\/\*.*?\*\//m,"").gsub(/\/\/.*/,"").sub(/^`timescale .*/,"").strip
56
56
 
57
57
 
58
58
  head_str,body_str = build_module_verb(ex_param:ex_param,ex_port:ex_port,ex_up_code:ex_up_code,ex_down_code:ex_down_code)
59
59
  new_str = head_str+body_str
60
- if body_str.gsub(/\/\*.*?\*\//m,"").gsub(/\/\/.*/,"").strip != old_str
60
+ if body_str.gsub(/\/\/.*/,"").strip != old_str
61
61
  File.open(File.join(@out_sv_path,"#{module_name}.sv"),"w") do |f|
62
62
  f.print new_str
63
63
  end
64
64
  end
65
65
  else
66
66
  File.open(File.join(@out_sv_path,"#{module_name}.sv"),"w") do |f|
67
- f.print build_module(ex_param:ex_param,ex_port:ex_port,ex_up_code:ex_up_code,ex_down_code:ex_down_code)
67
+ f.print build_module_verb(ex_param:ex_param,ex_port:ex_port,ex_up_code:ex_up_code,ex_down_code:ex_down_code).join("")
68
68
  end
69
69
  end
70
70
  end
@@ -448,6 +448,9 @@ class SdlModule
448
448
  # @ports = (@port_clocks + @port_resets + @port_logics + @port_datainfs + @port_datainf_c_s + @port_videoinfs + @port_axisinfs + @port_axi4infs + @port_axilinfs)
449
449
  @instance_cnt ||= 0
450
450
  inst_p = SdlInst.new(origin:self,name:name)
451
+
452
+ @instances ||= []
453
+ @instances << inst_p
451
454
 
452
455
  @port_params.each do |k,v|
453
456
  inst_p.inst_param_hash[k.to_s] = nil
@@ -65,7 +65,7 @@ class SdlModule
65
65
  if value.is_a? Float
66
66
  type = :real
67
67
  end
68
- tmp = Parameter.new(name:name.to_s,value:value,port:true,type:type,show:show)
68
+ tmp = Parameter.new(name:name.to_s,value:value,port:true,type:type,show:show, belong_to_module: self)
69
69
  add_to_new_module("@port_params",tmp)
70
70
  add_method_to_itgt(name,tmp)
71
71
  tmp
@@ -87,7 +87,7 @@ class SdlModule
87
87
  # tmp
88
88
  # end
89
89
  ClassHDL::AssignDefOpertor.with_rollback_opertors(:old) do
90
- tmp = Logic.new(name:name,dsize:dsize,port:"input",dimension:dimension)
90
+ tmp = Logic.new(name:name,dsize:dsize,port:"input",dimension:dimension, belong_to_module: self)
91
91
  add_to_new_module("@port_logics",tmp)
92
92
  add_method_to_itgt(name,tmp)
93
93
  tmp
@@ -109,7 +109,7 @@ class SdlModule
109
109
  # tmp
110
110
  # end
111
111
  ClassHDL::AssignDefOpertor.with_rollback_opertors(:old) do
112
- tmp = Logic.new(name:name,dsize:dsize,port:"output",dimension:dimension,type: 'logic')
112
+ tmp = Logic.new(name:name,dsize:dsize,port:"output",dimension:dimension,type: 'logic', belong_to_module: self)
113
113
  add_to_new_module("@port_logics",tmp)
114
114
 
115
115
  if block_given?
@@ -136,7 +136,7 @@ class SdlModule
136
136
  # tmp
137
137
  # end
138
138
  ClassHDL::AssignDefOpertor.with_rollback_opertors(:old) do
139
- tmp = Logic.new(name:name,dsize:dsize,port:"inout",dimension:dimension,type: '' )
139
+ tmp = Logic.new(name:name,dsize:dsize,port:"inout",dimension:dimension,type: '' , belong_to_module: self)
140
140
  add_to_new_module("@port_logics",tmp)
141
141
 
142
142
  if block_given?
@@ -150,7 +150,7 @@ class SdlModule
150
150
  def Clock(name,freqM:100,port: :input,pin:[],iostd:[],dsize:1,pin_prop:nil)
151
151
  port_name_chk(name)
152
152
  pin,iostd = parse_pin_prop(pin_prop) if pin_prop
153
- a = Clock.new(name:name,freqM:freqM,port:port,dsize:dsize)
153
+ a = Clock.new(name:name,freqM:freqM,port:port,dsize:dsize, belong_to_module: self)
154
154
  add_to_new_module("@port_clocks",a)
155
155
 
156
156
  if block_given?
@@ -164,7 +164,7 @@ class SdlModule
164
164
  def Reset(name,port: :input,active:"low",pin:[],iostd:[],dsize:1,pin_prop:nil)
165
165
  port_name_chk(name)
166
166
  pin,iostd = parse_pin_prop(pin_prop) if pin_prop
167
- a = Reset.new(name:name,active:active.to_s.downcase,port:port,dsize:dsize)
167
+ a = Reset.new(name:name,active:active.to_s.downcase,port:port,dsize:dsize, belong_to_module: self)
168
168
  add_to_new_module("@port_resets",a)
169
169
  # define_method(name){ a }
170
170
  add_method_to_itgt(name,a)
@@ -13,25 +13,25 @@ class DefXp
13
13
  end
14
14
 
15
15
  def logic(name:"tmp",dsize:1,port:false,default:nil,msb_high:true,dimension:[],type:"logic",&block)
16
- lg = Logic.new(name:name,dsize:dsize,port:port,default:default,msb_high:msb_high,dimension:dimension,type:type)
16
+ lg = Logic.new(name:name,dsize:dsize,port:port,default:default,msb_high:msb_high,dimension:dimension,type:type, belong_to_module: @sdlmodule)
17
17
  var_common(lg,&block)
18
18
  add_method_to_itgt(name,lg)
19
19
  end
20
20
 
21
21
  def clock(name:"",freqM:100,dsize:1,&block)
22
- a = Clock.new(name:name,freqM:freqM,dsize:dsize)
22
+ a = Clock.new(name:name,freqM:freqM,dsize:dsize, belong_to_module: @sdlmodule)
23
23
  var_common(a,&block)
24
24
  add_method_to_itgt(name,a)
25
25
  end
26
26
 
27
27
  def reset(name:"",active:"low",dsize:1,&block)
28
- a = Reset.new(name:name,active:active,dsize:dsize)
28
+ a = Reset.new(name:name,active:active,dsize:dsize, belong_to_module: @sdlmodule)
29
29
  var_common(a,&block)
30
30
  add_method_to_itgt(name,a)
31
31
  end
32
32
 
33
33
  def parameter(name:"P",value:100,local:false,type:nil,&block)
34
- a = Parameter.new(name:name,value:value,local:local,port:false,show:true,type:type)
34
+ a = Parameter.new(name:name,value:value,local:local,port:false,show:true,type:type, belong_to_module: @sdlmodule)
35
35
  var_common(a,&block)
36
36
  add_method_to_itgt(name,a)
37
37
  end
@@ -77,12 +77,12 @@ class DefXp
77
77
  # end
78
78
 
79
79
  def mailbox(name:'mbox',depth:100,&block)
80
- a = MailBox.new(name:name,depth:depth)
80
+ a = MailBox.new(name:name,depth:depth, belong_to_module: @sdlmodule)
81
81
  var_common(a,&block)
82
82
  end
83
83
 
84
84
  def debuglogic(name:"tmp",dsize:1,port:false,default:nil,msb_high:true,dimension:[],type:"logic",&block)
85
- lg = DebugLogic.new(name:name,dsize:dsize,port:port,default:default,msb_high:msb_high,dimension:dimension,type:type)
85
+ lg = DebugLogic.new(name:name,dsize:dsize,port:port,default:default,msb_high:msb_high,dimension:dimension,type:type, belong_to_module: @sdlmodule)
86
86
  var_common(lg,&block)
87
87
  add_method_to_itgt(name,lg)
88
88
  end
@@ -43,6 +43,8 @@ class SdlModule
43
43
  end
44
44
 
45
45
  def gen_dev_wave_tcl ## 返回一个[]
46
+ return ['','',''] unless TopModule.sim
47
+
46
48
  dve_tcl_hash = {}
47
49
  track_signals_hash.each do |flag, base_ele_bhash|
48
50
  base_elms = []
@@ -50,6 +52,7 @@ class SdlModule
50
52
  intf_elms_name = []
51
53
  base_ele_bhash.each do |ele, sub_filter_block|
52
54
  _ref_paths = ele.path_refs(&@__track_filter_block__)
55
+ _ref_paths.uniq!
53
56
 
54
57
  if sub_filter_block
55
58
  _ref_paths = _ref_paths.select do |e|
@@ -143,6 +146,8 @@ class SdlModule
143
146
 
144
147
  sub_hash.each do |ele, sub_filter_block|
145
148
  _root_refs = ele.path_refs(&filter_block)
149
+ _root_refs.uniq!
150
+
146
151
  if sub_filter_block
147
152
  _root_refs.select! do |e| sub_filter_block.call(e) end
148
153
  end
@@ -210,6 +215,7 @@ class TestUnitModule < SdlModule ##TestUnitModule 是在编译完 TopModule TB
210
215
  to_down_pass <= 1.b0
211
216
  initial_exec("wait(from_up_pass)")
212
217
  initial_exec("$root.#{TopModule.current.techbench.module_name}.test_unit_region = \"#{module_name}\"")
218
+ initial_exec("$display(\"--------------- Current test_unit <%0s> --------------------\", \"#{module_name}\")")
213
219
  block.call ## collect __root_ref_eles__ at here
214
220
  to_down_pass <= 1.b1
215
221
  end
@@ -325,6 +331,12 @@ class TopModule
325
331
  def _exec_add_test_unit
326
332
  @_test_unit_collect_ ||= []
327
333
  args = @_test_unit_collect_
334
+ ## 例化需要的itgt test unit
335
+ # ItegrationVerb.test_unit_inst
336
+ ItegrationVerb.test_unit_inst do |name|
337
+ args.include? name.to_s
338
+ end
339
+
328
340
  self.techbench.instance_exec(args) do |args|
329
341
  index = 0
330
342
  last_index = 0