ruby-vpi 16.0.1 → 17.0.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (247) hide show
  1. data/LICENSE +19 -19
  2. data/README +1 -1
  3. data/Rakefile +35 -32
  4. data/bin/convert.rb +28 -0
  5. data/bin/generate/design.rb +16 -0
  6. data/bin/generate/proto.rb +13 -0
  7. data/bin/generate/runner.rake +33 -0
  8. data/bin/generate/spec.rb +45 -0
  9. data/bin/generate.rb +177 -0
  10. data/bin/ruby-vpi +56 -0
  11. data/doc/Rakefile +20 -4
  12. data/doc/common.css +92 -33
  13. data/doc/common.inc +13 -0
  14. data/doc/common.tpl +42 -28
  15. data/doc/history.doc +11 -11
  16. data/doc/history.html +769 -248
  17. data/doc/history.inc +909 -0
  18. data/doc/history.rb +9 -0
  19. data/doc/history.yaml +69 -0
  20. data/doc/intro.inc +170 -178
  21. data/doc/lib/doc_format.rb +57 -144
  22. data/doc/lib/doc_proxy.rb +504 -88
  23. data/doc/lib/erb_content.rb +8 -8
  24. data/doc/lib/erb_proxy.rb +17 -17
  25. data/doc/manual.doc +626 -777
  26. data/doc/manual.html +1541 -1031
  27. data/doc/memo.doc +38 -36
  28. data/doc/memo.html +64 -28
  29. data/doc/readme.doc +4 -31
  30. data/doc/readme.html +221 -163
  31. data/doc/rss.erb +1 -1
  32. data/doc/rss.xml +73 -1761
  33. data/ext/Rakefile +6 -5
  34. data/ext/main.c +17 -15
  35. data/ext/relay.c +4 -7
  36. data/ext/relay.h +2 -2
  37. data/ext/swig_vpi.h +2 -2
  38. data/ext/swig_vpi.i +1 -2
  39. data/ext/swig_wrap.cin +12 -16
  40. data/ext/vlog.c +5 -5
  41. data/ext/vlog.h +2 -2
  42. data/lib/ruby-vpi/erb.rb +3 -3
  43. data/lib/ruby-vpi/float.rb +2 -2
  44. data/lib/ruby-vpi/rcov.rb +5 -7
  45. data/lib/ruby-vpi/runner.rb +43 -41
  46. data/lib/ruby-vpi/runner_boot_loader.rb +117 -0
  47. data/lib/ruby-vpi/runner_proxy.rb +6 -8
  48. data/lib/ruby-vpi/util.rb +10 -0
  49. data/lib/ruby-vpi/verilog_parser.rb +28 -56
  50. data/lib/ruby-vpi/vpi.rb +168 -123
  51. data/lib/ruby-vpi.rb +22 -143
  52. data/ref/c/annotated.html +1 -1
  53. data/ref/c/common_8h.html +1 -1
  54. data/ref/c/files.html +1 -1
  55. data/ref/c/functions.html +1 -1
  56. data/ref/c/functions_vars.html +1 -1
  57. data/ref/c/globals.html +1 -1
  58. data/ref/c/globals_0x63.html +1 -1
  59. data/ref/c/globals_0x65.html +1 -1
  60. data/ref/c/globals_0x66.html +1 -1
  61. data/ref/c/globals_0x6d.html +1 -1
  62. data/ref/c/globals_0x70.html +1 -1
  63. data/ref/c/globals_0x72.html +1 -1
  64. data/ref/c/globals_0x73.html +1 -1
  65. data/ref/c/globals_0x74.html +1 -1
  66. data/ref/c/globals_0x76.html +1 -1
  67. data/ref/c/globals_0x78.html +1 -1
  68. data/ref/c/globals_defs.html +1 -1
  69. data/ref/c/globals_defs_0x65.html +1 -1
  70. data/ref/c/globals_defs_0x70.html +1 -1
  71. data/ref/c/globals_defs_0x76.html +1 -1
  72. data/ref/c/globals_defs_0x78.html +1 -1
  73. data/ref/c/globals_enum.html +1 -1
  74. data/ref/c/globals_eval.html +1 -1
  75. data/ref/c/globals_func.html +1 -1
  76. data/ref/c/globals_type.html +1 -1
  77. data/ref/c/globals_vars.html +1 -1
  78. data/ref/c/index.html +1 -1
  79. data/ref/c/main_8c.html +1 -1
  80. data/ref/c/main_8h.html +1 -1
  81. data/ref/c/relay_8c.html +1 -1
  82. data/ref/c/relay_8h.html +1 -1
  83. data/ref/c/structt__cb__data.html +1 -1
  84. data/ref/c/structt__vpi__delay.html +1 -1
  85. data/ref/c/structt__vpi__error__info.html +1 -1
  86. data/ref/c/structt__vpi__strengthval.html +1 -1
  87. data/ref/c/structt__vpi__systf__data.html +1 -1
  88. data/ref/c/structt__vpi__time.html +1 -1
  89. data/ref/c/structt__vpi__value.html +1 -1
  90. data/ref/c/structt__vpi__vecval.html +1 -1
  91. data/ref/c/structt__vpi__vlog__info.html +1 -1
  92. data/ref/c/verilog_8h.html +1 -1
  93. data/ref/c/vlog_8c.html +1 -1
  94. data/ref/c/vlog_8h.html +1 -1
  95. data/ref/c/vpi__user_8h.html +1 -1
  96. data/ref/ruby/classes/ERB.html +5 -5
  97. data/ref/ruby/classes/ERB.src/{M000024.html → M000026.html} +0 -0
  98. data/ref/ruby/classes/FileUtils.html +11 -11
  99. data/ref/ruby/classes/FileUtils.src/{M000025.html → M000027.html} +0 -0
  100. data/ref/ruby/classes/FileUtils.src/{M000026.html → M000028.html} +0 -0
  101. data/ref/ruby/classes/Float.html +6 -6
  102. data/ref/ruby/classes/Float.src/{M000020.html → M000021.html} +0 -0
  103. data/ref/ruby/classes/Integer.html +65 -65
  104. data/ref/ruby/classes/Integer.src/M000009.html +12 -5
  105. data/ref/ruby/classes/Integer.src/M000010.html +5 -5
  106. data/ref/ruby/classes/Integer.src/M000011.html +5 -5
  107. data/ref/ruby/classes/Integer.src/M000012.html +5 -5
  108. data/ref/ruby/classes/Integer.src/M000013.html +5 -5
  109. data/ref/ruby/classes/Integer.src/M000014.html +18 -0
  110. data/ref/ruby/classes/Integer.src/M000017.html +12 -18
  111. data/ref/ruby/classes/Integer.src/M000018.html +18 -12
  112. data/ref/ruby/classes/Integer.src/M000019.html +12 -17
  113. data/ref/ruby/classes/Integer.src/M000020.html +30 -0
  114. data/ref/ruby/classes/RDoc.html +5 -5
  115. data/ref/ruby/classes/RDoc.src/{M000053.html → M000058.html} +0 -0
  116. data/ref/ruby/classes/{RubyVpi/Config.html → RubyVPI.html} +20 -6
  117. data/ref/ruby/classes/String.html +34 -15
  118. data/ref/ruby/classes/String.src/M000022.html +5 -28
  119. data/ref/ruby/classes/String.src/M000023.html +5 -5
  120. data/ref/ruby/classes/String.src/{M000021.html → M000024.html} +0 -0
  121. data/ref/ruby/classes/String.src/M000025.html +41 -0
  122. data/ref/ruby/classes/VerilogParser/Module/Port.html +16 -36
  123. data/ref/ruby/classes/VerilogParser/Module/Port.src/M000006.html +10 -5
  124. data/ref/ruby/classes/VerilogParser/Module/Port.src/{M000004.html → M000007.html} +4 -4
  125. data/ref/ruby/classes/VerilogParser/Module/Port.src/{M000005.html → M000008.html} +4 -4
  126. data/ref/ruby/classes/VerilogParser/Module.html +28 -9
  127. data/ref/ruby/classes/VerilogParser/Module.src/M000005.html +29 -0
  128. data/ref/ruby/classes/VerilogParser.html +5 -39
  129. data/ref/ruby/classes/VerilogParser.src/M000004.html +26 -0
  130. data/ref/ruby/classes/Vpi/Handle.html +179 -77
  131. data/ref/ruby/classes/Vpi/Handle.src/M000035.html +18 -0
  132. data/ref/ruby/classes/Vpi/Handle.src/M000036.html +5 -5
  133. data/ref/ruby/classes/Vpi/Handle.src/M000037.html +5 -5
  134. data/ref/ruby/classes/Vpi/Handle.src/M000038.html +5 -5
  135. data/ref/ruby/classes/Vpi/Handle.src/M000039.html +5 -5
  136. data/ref/ruby/classes/Vpi/Handle.src/M000040.html +5 -8
  137. data/ref/ruby/classes/Vpi/Handle.src/M000041.html +5 -8
  138. data/ref/ruby/classes/Vpi/Handle.src/M000042.html +5 -9
  139. data/ref/ruby/classes/Vpi/Handle.src/M000043.html +8 -31
  140. data/ref/ruby/classes/Vpi/Handle.src/M000044.html +8 -74
  141. data/ref/ruby/classes/Vpi/Handle.src/M000045.html +9 -17
  142. data/ref/ruby/classes/Vpi/Handle.src/M000046.html +31 -11
  143. data/ref/ruby/classes/Vpi/Handle.src/M000047.html +86 -0
  144. data/ref/ruby/classes/Vpi/Handle.src/M000048.html +17 -18
  145. data/ref/ruby/classes/Vpi/Handle.src/M000050.html +18 -0
  146. data/ref/ruby/classes/Vpi/Handle.src/M000051.html +24 -0
  147. data/ref/ruby/classes/Vpi/Handle.src/M000053.html +31 -0
  148. data/ref/ruby/classes/Vpi/Handle.src/M000054.html +89 -0
  149. data/ref/ruby/classes/Vpi/S_vpi_time.html +16 -16
  150. data/ref/ruby/classes/Vpi/S_vpi_time.src/{M000050.html → M000055.html} +4 -4
  151. data/ref/ruby/classes/Vpi/S_vpi_time.src/{M000051.html → M000056.html} +5 -5
  152. data/ref/ruby/classes/Vpi/S_vpi_value.html +15 -15
  153. data/ref/ruby/classes/Vpi/S_vpi_value.src/{M000035.html → M000032.html} +5 -5
  154. data/ref/ruby/classes/Vpi/S_vpi_value.src/M000033.html +5 -5
  155. data/ref/ruby/classes/Vpi/S_vpi_value.src/M000034.html +5 -5
  156. data/ref/ruby/classes/Vpi.html +6 -42
  157. data/ref/ruby/classes/Vpi.src/M000029.html +15 -5
  158. data/ref/ruby/classes/Vpi.src/M000030.html +24 -24
  159. data/ref/ruby/classes/Vpi.src/M000031.html +6 -8
  160. data/ref/ruby/created.rid +1 -1
  161. data/ref/ruby/files/bin/{header_to_ruby_rb.html → convert_rb.html} +5 -5
  162. data/ref/ruby/files/bin/{generate_test_rb.html → generate_rb.html} +8 -21
  163. data/ref/ruby/files/lib/ruby-vpi/erb_rb.html +1 -1
  164. data/ref/ruby/files/lib/ruby-vpi/float_rb.html +1 -1
  165. data/ref/ruby/files/lib/ruby-vpi/integer_rb.html +1 -1
  166. data/ref/ruby/files/lib/ruby-vpi/rake_rb.html +1 -1
  167. data/ref/ruby/files/lib/ruby-vpi/rcov_rb.html +1 -1
  168. data/ref/ruby/files/lib/ruby-vpi/rdoc_rb.html +1 -1
  169. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.html +197 -0
  170. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.src/M000001.html +17 -0
  171. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.src/M000002.html +18 -0
  172. data/ref/ruby/files/lib/ruby-vpi/runner_proxy_rb.html +1 -1
  173. data/ref/ruby/files/lib/ruby-vpi/runner_rb.html +6 -19
  174. data/ref/ruby/files/lib/ruby-vpi/util_rb.html +101 -0
  175. data/ref/ruby/files/lib/ruby-vpi/verilog_parser_rb.html +8 -1
  176. data/ref/ruby/files/lib/ruby-vpi/vpi_rb.html +1 -1
  177. data/ref/ruby/files/lib/ruby-vpi_rb.html +2 -14
  178. data/ref/ruby/fr_class_index.html +1 -3
  179. data/ref/ruby/fr_file_index.html +4 -2
  180. data/ref/ruby/fr_method_index.html +56 -51
  181. data/ref/ruby/index.html +1 -1
  182. data/samp/counter/RSpec/Rakefile +1 -0
  183. data/samp/counter/RSpec/counter_design.rb +15 -0
  184. data/samp/counter/RSpec/counter_proto.rb +10 -0
  185. data/samp/counter/RSpec/counter_runner.rake +44 -0
  186. data/samp/counter/RSpec/counter_spec.rb +39 -0
  187. data/samp/counter/Rakefile +1 -1
  188. data/samp/counter/counter.v +7 -7
  189. data/samp/counter/xUnit/Rakefile +1 -0
  190. data/samp/counter/xUnit/counter_bench.rb +95 -0
  191. data/samp/counter/{counter_xunit_bench.v → xUnit/counter_bench.v} +0 -0
  192. data/samp/counter/xUnit/counter_design.rb +15 -0
  193. data/samp/counter/xUnit/counter_proto.rb +10 -0
  194. data/samp/counter/xUnit/counter_runner.rake +44 -0
  195. data/samp/counter/{counter_xunit_spec.rb → xUnit/counter_spec.rb} +9 -9
  196. data/samp/pipelined_alu/Rakefile +1 -1
  197. data/samp/pipelined_alu/TestHw5UnitModel.rb +4 -5
  198. data/samp/pipelined_alu/hw5_unit.v +55 -85
  199. data/samp/pipelined_alu/hw5_unit_design.rb +51 -0
  200. data/samp/pipelined_alu/hw5_unit_proto.rb +4 -0
  201. data/samp/pipelined_alu/hw5_unit_runner.rake +43 -0
  202. data/samp/pipelined_alu/hw5_unit_spec.rb +64 -0
  203. data/samp/register_file/LICENSE +20 -0
  204. data/samp/register_file/README +4 -0
  205. data/samp/register_file/Rakefile +1 -0
  206. data/samp/register_file/register_file.v +18 -0
  207. data/samp/register_file/register_file_design.rb +11 -0
  208. data/samp/register_file/register_file_proto.rb +11 -0
  209. data/samp/register_file/register_file_runner.rake +43 -0
  210. data/samp/register_file/register_file_spec.rb +58 -0
  211. metadata +78 -66
  212. data/bin/generate_test.rb +0 -200
  213. data/bin/generate_test_tpl/bench.rb +0 -89
  214. data/bin/generate_test_tpl/bench.v +0 -26
  215. data/bin/generate_test_tpl/design.rb +0 -11
  216. data/bin/generate_test_tpl/proto.rb +0 -16
  217. data/bin/generate_test_tpl/runner.rake +0 -42
  218. data/bin/generate_test_tpl/spec.rb +0 -37
  219. data/bin/header_to_ruby.rb +0 -27
  220. data/ref/ruby/classes/Integer.src/M000008.html +0 -25
  221. data/ref/ruby/classes/Integer.src/M000016.html +0 -25
  222. data/ref/ruby/classes/RubyVpi.html +0 -199
  223. data/ref/ruby/classes/RubyVpi.src/M000027.html +0 -121
  224. data/ref/ruby/classes/VerilogParser/Module/Parameter.html +0 -160
  225. data/ref/ruby/classes/VerilogParser/Module/Parameter.src/M000007.html +0 -19
  226. data/ref/ruby/classes/VerilogParser/Module/Port.src/M000003.html +0 -21
  227. data/ref/ruby/classes/VerilogParser/Module.src/M000002.html +0 -34
  228. data/ref/ruby/classes/VerilogParser.src/M000001.html +0 -34
  229. data/ref/ruby/classes/Vpi/Handle.src/M000049.html +0 -69
  230. data/ref/ruby/classes/Vpi.src/M000028.html +0 -28
  231. data/ref/ruby/classes/Vpi.src/M000032.html +0 -22
  232. data/samp/counter/counter_rspec_bench.rb +0 -86
  233. data/samp/counter/counter_rspec_bench.v +0 -9
  234. data/samp/counter/counter_rspec_design.rb +0 -8
  235. data/samp/counter/counter_rspec_proto.rb +0 -13
  236. data/samp/counter/counter_rspec_runner.rake +0 -52
  237. data/samp/counter/counter_rspec_spec.rb +0 -39
  238. data/samp/counter/counter_xunit_bench.rb +0 -86
  239. data/samp/counter/counter_xunit_design.rb +0 -8
  240. data/samp/counter/counter_xunit_proto.rb +0 -13
  241. data/samp/counter/counter_xunit_runner.rake +0 -52
  242. data/samp/pipelined_alu/hw5_unit_test_bench.rb +0 -86
  243. data/samp/pipelined_alu/hw5_unit_test_bench.v +0 -14
  244. data/samp/pipelined_alu/hw5_unit_test_design.rb +0 -61
  245. data/samp/pipelined_alu/hw5_unit_test_proto.rb +0 -7
  246. data/samp/pipelined_alu/hw5_unit_test_runner.rake +0 -52
  247. data/samp/pipelined_alu/hw5_unit_test_spec.rb +0 -68
data/doc/history.inc ADDED
@@ -0,0 +1,909 @@
1
+ <% section "Version 17.0.0 (2007-07-22)", "17.0.0" do %>This release makes the Ruby-VPI testing framework more lightweight by reducing the number of files and parameters involved.
2
+
3
+
4
+ h2. Caution
5
+
6
+ * The *generate_test.rb* and *convert.rb* executables have been replaced by a single *ruby-vpi* executable to avoid name conflicts with other software. They are now accessed using the <pre>ruby-vpi generate</pre> and <pre>ruby-vpi convert</pre> commands respectively.
7
+
8
+ * The <tt>--name</tt> parameter has been removed from the automated test generator. Similarly, you can no longer insert an arbitrary name in a test's file names (see the "user manual":manual.html#Do_not_rename_generated_files for details).
9
+
10
+ * The @DEBUG@ environment variable, which controls wether the test runner starts the interactive debugger, has been renamed to @DEBUGGER@.
11
+
12
+ * The @SIMULATOR_TARGET@ and @SIMULATOR_INCLUDES@ parameters have been eliminated from test runners. The former is detected automatically. As for the latter, you can specify paths to directories in the @SIMULATOR_SOURCES@ parameter instead.
13
+
14
+ * The <tt>bench.rb</tt> and <tt>bench.v</tt> files of a generated test are (1) no longer generated by the test generator and (2) are now ignored. You must move the functionality provided by these files into <tt>design.rb</tt> and your Verilog module's source file respectively.
15
+
16
+ * The <tt>design.rb</tt> and <tt>proto.rb</tt> files are now loaded into a separate namespace (Ruby module). For example, if the Verilog module under test has the name "counter" and the <tt>design.rb</tt> file defines a class named "Foo", then that class will be accessible as "Counter::Foo".
17
+
18
+ * The global @Vpi::simulate@ method has been replaced by the @cycle!@ method in the <tt>design.rb</tt> file.
19
+
20
+ * The @simulate!@ method in the <tt>proto.rb</tt> file has been renamed to @feign!@ for accuracy.
21
+
22
+ * The @RubyVpi@ module has been renamed to @RubyVPI@.
23
+
24
+ * RSpec >= 1.0.0 is now required by Ruby-VPI.
25
+
26
+ * The "project license":readme.html#intro.license has been further simplified.
27
+
28
+
29
+ h2. Repairs
30
+
31
+ * Restored support for Enumerable methods in @Vpi::Handle@.
32
+
33
+ * The specification now begins to execute at simulation time 0. Previously it began at simulation time 1.
34
+
35
+
36
+ h2. Improvements
37
+
38
+ * The <tt>bench.rb</tt> and <tt>bench.v</tt> files of a generated test have been eliminated!
39
+
40
+ One important benefit is that the Ruby interface to the Verilog module under test is now a direct reference, whereas previously it was an _indirect_ reference (it pointed to the Verilog module defined in <tt>bench.v</tt>, which in turn wrapped around the actual Verilog module under test).
41
+
42
+ As a result, you can now access the internals of the Verilog module under test by simply calling methods on the Ruby interface, as you would naturally expect to be the case.
43
+
44
+ * The "a" accessor, which returns an _array_ of child handles, has been added to "the method naming format":manual.html#tbl:accessors for accessing child handles.
45
+
46
+ * All parameter objects in the Verilog module under test are automatically made available as constants. For example, if the Verilog module under test has the name @counter@ and has a parameter object named @WIDTH@, then you can access the integer value of that parameter using @Counter::WIDTH@.
47
+
48
+ * The automated test generator now tries to automatically detect the clock and reset signal from Verilog module declarations.
49
+
50
+ * The automated test generator now supports Verilog 95 style module declarations.
51
+
52
+ * Exceptions are now raised when you try to write to a read-only VPI property, such as @VpiName@.
53
+
54
+ * Added @high?@, @high!@, @low?@, and @low!@ methods to the @Vpi::Handle@ class.
55
+
56
+ * Revised the user manual by removing unnecessary sections and adding newer material from my master's thesis.
57
+
58
+
59
+ h2. Contributions
60
+
61
+ * Added Jacinto Shy II's "register file example":http://jacintoshy.blogspot.com/2007/05/hacking-verilog-with-ruby-part-2.html to Ruby-VPI. It can be found in <tt>samp/register_file/</tt>.
62
+ <% end %><% section "Version 16.0.1 (2007-05-27)", "16.0.1" do %>This release restores support for installation on Mac OS X.
63
+
64
+ h2. Thanks
65
+
66
+ * Mark Thompson "reported and solved":http://rubyforge.org/tracker/index.php?func=detail&aid=11125&group_id=1339&atid=5281 the problem.
67
+
68
+ h2. Repairs
69
+
70
+ * Shared-object files have a file extension of <tt>.so</tt> in Linux and <tt>.bundle</tt> on Mac OS X. Previously, only the Linux file extension was supported.
71
+ <% end %><% section "Version 16.0.0 (2007-05-02)", "16.0.0" do %>This release adds support for the "Cadence NC-Sim / NC-Verilog":http://www.cadence.com/products/functional_ver/nc-verilog/ simulator, improves the project website and documentation, simplifies interaction with VPI, and comes with a more permissive license.
72
+
73
+
74
+ h2. Caution
75
+
76
+ * The @Integer.ensure_min@ and @Integer.ensure_max@ methods have been removed from the <tt>ruby-vpi/integer.rb</tt> library because Ruby already has idioms for these operations: <pre>
77
+ >> 5.ensure_min 10
78
+ => 10
79
+ >> [5, 10].max
80
+ => 10
81
+
82
+ >> 5.ensure_max 10
83
+ => 5
84
+ >> [5, 10].min
85
+ => 5
86
+ </pre>
87
+
88
+ * Ruby-VPI is now developed under a "more permissive license":readme.html#intro.license that better reflects my ethical beliefs than "the previous license":http://www.gnu.org/copyleft/gpl.html.
89
+
90
+
91
+ h2. New features
92
+
93
+ * The "Cadence NC-Sim / NC-Verilog":http://www.cadence.com/products/functional_ver/nc-verilog/ (ncsim) simulator is now supported.
94
+
95
+ * VPI structures (whose names begin with "S_") now allow initialization of their members through their constructor, in the style of Ruby on Rails. For example, the expression @time = S_vpi_time.new :type => VpiSuppressTime@ is equivalent to: <code>
96
+ time = S_vpi_time.new
97
+ time.type = VpiSuppressTime
98
+ </code>
99
+
100
+ * Added the @Vpi::Handle.cbValueChange@ method which simplifies the registration of a value-change callback for a particular VPI handle. For instance, the user manual's "example of setting up a value-change callback":manual.html#ex..callback can now be written as follows: <code>
101
+ Counter.count.cbValueChange do |s_cb_data|
102
+ puts "hello from callback! time=#{s_cb_data.time.to_i} count=#{s_cb_data.obj.intVal}"
103
+ end
104
+ </code>
105
+
106
+
107
+ h2. Details
108
+
109
+ * Revised the project website according to the "Producing OSS":http://producingoss.com guidelines.
110
+
111
+ * Added instructions for checking out source code and generating documentation.
112
+ <% end %><% section "Version 15.0.2 (2007-01-22)", "15.0.2" do %>This release fixes problems with linking of the C extension and installation of the gem.
113
+
114
+
115
+ h2. Details
116
+
117
+ * Added checks for POSIX threads & Ruby libraries before creating makefile. Otherwise the <tt>.so</tt> files were not being linked to those libraries on the MASC machine!
118
+
119
+ * The *make* program on the MASC machine does not like an empty Makefile. It was causing gem installation to fail.
120
+ <% end %><% section "Version 15.0.1 (2007-01-15)", "15.0.1" do %>This release fixes another problem with compilation of the C extension and improves the user documentation's appearance.
121
+
122
+
123
+ h2. Thanks
124
+
125
+ * Derek Graham reported the problem with compilation of the C extension.
126
+
127
+
128
+ h2. Details
129
+
130
+ * Restored the monkey patch, which was removed in release 14.0.0, for the SWIG @va_list@ problem.
131
+
132
+ * Made table of contents in-line with the content in user documentation.
133
+
134
+ * Added printer-friendly stylesheet for user documentation.
135
+ <% end %><% section "Version 15.0.0 (2007-01-13)", "15.0.0" do %>This release fixes a problem with compilation of the C extension, and makes clock generation code correctly affect Ruby prototypes.
136
+
137
+
138
+ h2. Thanks
139
+
140
+ * Derek Graham reported the problem with compilation of the C extension.
141
+
142
+
143
+ h2. Caution
144
+
145
+ * The @Vpi::advance_time@ method (instead of the @Vpi::simulate@ method) now invokes the design's @simulate!@ method when prototyping is enabled.
146
+
147
+ This makes clock generation code (passed to the @RubyVpi::init_bench@ method) affect Ruby prototypes, just as it affects a real Verilog design.
148
+
149
+ As a result, Ruby prototypes may need to check for edge-triggering through the new @Vpi::Handle.posedge?@ and @Vpi::Handle.negedge?@ methods. For example, see the "new implementation of the Counter prototype":manual.html#fig..counter_proto.rb.
150
+
151
+ h3. New features
152
+
153
+ * Added @Vpi::Handle.posedge?@ and @Vpi::Handle.negedge?@ methods which check if a handle's logic value is currently at a positive or negative edge, respectively.
154
+
155
+ * Added @Vpi::S_vpi_time.integer@ and @Vpi::S_vpi_time.integer=@ methods which access the @high@ and @low@ integer fields as a single 64-bit integer.
156
+
157
+ * Added utility methods for accessing the @value@ field in the @Vpi::S_vpi_value@ structure.
158
+
159
+
160
+ h2. Details
161
+
162
+ * Fixed problem with incorrect Ruby library flag in <tt>ext/extconf.rb</tt>. It happens that the @mkmf@ library determines the correct library flag all by itself!
163
+
164
+ * Added new "prototyping section":manual.html#usage.prototyping to user manual.
165
+
166
+ * Fixed some broken URLs in user documentation.
167
+ <% end %><% section "Version 14.0.0 (2006-12-30)", "14.0.0" do %>This release adds support for simulation callbacks, renders Verilog benches _fully simplified_, and revises the user manual to reflect major changes.
168
+
169
+
170
+ h2. Caution
171
+
172
+ * The @Vpi::relay_verilog@ method has been replaced by @Vpi::simulate@.
173
+
174
+ Please update you tests accordingly, because invoking the @Vpi::relay_verilog@ method directly will cause your tests to freeze/hang.
175
+
176
+ * Verilog benches no longer contain any clock generation code. They are now mere skeletons, whose _only_ purpose is to instantiate the design under test.
177
+
178
+ The clock generation code is now contained in the Ruby bench.
179
+
180
+ h3. New features
181
+
182
+ * The @RubyVpi::init_bench@ method now gives you _complete control_ over performing a clock/operation/whatever cycle for your design. This feature allows you to work with multi-clocked designs.
183
+
184
+ * Callbacks are now supported through the @Vpi::vpi_register_cb@ method. See "the callbacks section in the user manual":manual.html#usage.vpi.callbacks for details.
185
+
186
+ * Added the @Vpi::advance_time@ method, which makes the Verilog simulator simulate a given number of time steps.
187
+
188
+ * Added the @Vpi::simulation_time@ method, which gives you the current simulation time as a 64-bit integer.
189
+
190
+
191
+ h2. Details
192
+
193
+ * Removed the monkey patch for the SWIG @va_list@ problem -- it no longer occurs.
194
+
195
+ * Cleansed the appearance of the user documentation.
196
+ ** Added indices to section headings, just like LaTeX.
197
+ ** Admonition boxes no longer interfere with surrounding text, and they don't have big gap above them.
198
+ ** Navigation menu is now elastic (fluid layout).
199
+
200
+ * Revised the user manual and added new content.
201
+ ** Moved "VPI utility layer" section from background chapter into usage chapter in user manual.
202
+ ** Added a nice introduction to entire manual with links to reference documentation.
203
+ <% end %><% section "Version 13.0.0 (2006-12-27)", "13.0.0" do %>This release fixes a _major_ instability bug, simplifies generated Verilog benches, and purifies the API reference documentation.
204
+
205
+
206
+ h2. Caution
207
+
208
+ * The @$ruby_init@ and @$ruby_relay@ system task/functions have been removed. Generated Verilog benches no longer use them.
209
+ ** To pass your own command-line options to Ruby, set the @RUBYOPT@ environment variable before running a test.
210
+
211
+ h3. New features
212
+
213
+ * You can now choose when @Vpi::relay_verilog@ is triggered: upon positive/negative edge of the clock signal, or any other criteria. This is done by passing a block to the @RubyVpi::init_bench@ method (see "the API reference documentation":../ref/ruby/classes/RubyVpi.html for details).
214
+
215
+
216
+ h2. Details
217
+
218
+ * Fixed a major instability bug that was randomly causing segmentation faults and pthread assertion failures.
219
+
220
+ The problem was that the @$ruby_init@ system task/function was mischeviously unlocking a pthread mutex. From then onward, all code assumed that the mutex was still locked -- but in reality, the mutex was already unlocked.
221
+
222
+ * Replaced the @$ruby_init@ and @$ruby_relay@ system task/functions with callbacks.
223
+
224
+ * Excluded internal stuff from the API reference documentation for Ruby.
225
+
226
+ * Removed system-dependent path <tt>/usr/bin/ruby</tt> from the tools.
227
+ <% end %><% section "Version 12.1.0 (2006-12-22)", "12.1.0" do %>This release adds interactive debugging support, adds new sections to the user manual, and cleanses some internals.
228
+
229
+
230
+ h2. Caution
231
+
232
+ * The <tt>lib/ruby-vpi/rspec.rb</tt> and <tt>lib/ruby-vpi/xx.rb</tt> files have been removed because they are no longer necessary.
233
+
234
+ * rSpec 0.7.0 or newer is now required because the sample tests utilize the @should ==@ syntax.
235
+
236
+ h3. New features
237
+
238
+ * Test runners now support the @DEBUG@ environment variable, which enables the interactive debugger (ruby-debug) in "post-mortem debugging mode":http://www.datanoise.com/articles/2006/12/20/post-mortem-debugging.
239
+ ** The @debugger@ command can also be used when the @DEBUG@ environment variable is not activated.
240
+
241
+ * Status messages, which are shown during initialization of a Ruby bench, now appear in your simulator's log files.
242
+
243
+
244
+ h2. Details
245
+
246
+ * ruby-debug is now a gem dependency for Ruby-VPI.
247
+
248
+ * Added documentation about "test runners":manual.html#usage.test-runner and the "interactive debugger":manual.html#usage.debugger in the user manual.
249
+
250
+ * Added a <tt>README</tt> file with hint about where to find the user manual.
251
+
252
+ * Removed code that wasn't being used, according to code coverage analysis, from the pipelined ALU sample.
253
+ <% end %><% section "Version 12.0.2 (2006-12-18)", "12.0.2" do %>This release fixes a problem in the 12.0.1 gem, where the *generate_test.rb* and *header_to_ruby.rb* tools were not being installed properly.
254
+ <% end %><% section "Version 12.0.1 (2006-12-18)", "12.0.1" do %>This release fixes a problem in code coverage analysis.
255
+
256
+
257
+ h2. Thanks
258
+
259
+ * Mauricio Fernandez helped me solve the problem with code coverage analysis.
260
+
261
+
262
+ h2. Details
263
+
264
+ Previously, code coverage reports were not providing any useful information because they were being generated _before_ the test had a chance to run. This problem has been fixed -- code coverage reports are now generated _after_ the test has finished running.
265
+
266
+ This problem occurred because I had forgotten that @Kernel.at_exit@ invokes the blocks passed to it in _reverse_ order. So, the coverage report was being generated before Test::Unit had a chance to run (it also uses @Kernel.at_exit@).
267
+ <% end %><% section "Version 12.0.0 (2006-12-07)", "12.0.0" do %>This release adds support for the "test/spec":http://chneukirchen.org/blog/archive/2006/10/announcing-test-spec-0-2-a-bdd-interface-for-test-unit.html library, fixes some bugs, and improves the user manual and generated tests.
268
+
269
+
270
+ h2. Caution
271
+
272
+ * Icarus Verilog 0.8 has been demoted to a "mostly acceptable":manual.html#setup.reqs status.
273
+
274
+ * Generated Verilog benches no longer supply the <tt>-w</tt> option to the @$ruby_init@ task.
275
+
276
+ * The @ruby-vpi/runner_proxy@ library now invokes test runners
277
+ ** just before exiting. Thus, you can invoke tasks in the main <tt>Rakefile</tt> before the test runners are invoked.
278
+ ** located within any directory that is a descendant of the current working directory.
279
+
280
+ * The @SIMULATOR_ARGS@ parameter of generated runners has been renamed to @SIMULATOR_ARGUMENTS@ for clarity.
281
+
282
+ * The automated test generator
283
+ ** no longer displays the *backup* status indicator.
284
+ ** now supplies a third argument to the @MERGER@ command.
285
+ ** no longer replaces existing files with newly generated content during the *update* action. Instead, it now writes the newly generated output to a <tt>.new</tt> file and then invokes the @MERGER@ command.
286
+
287
+
288
+ h2. Details
289
+
290
+ * The @Vpi::Handle@ class has two new methods: @x!@ and @z!@, which set the handle's logic value to _unknown_ and _high impedance_ respectively.
291
+
292
+ * The tests for the simple up-counter example were randomly failing because the specifications were not asserting the design's @reset@ signal long enough. So the design was getting into weird states and behaving in a non-deterministic way. This problem has been fixed.
293
+
294
+ * The user manual has been revised and some minor issues have been fixed.
295
+
296
+ h3. Test generation
297
+
298
+ * The automated test generator accepts new command-line options:
299
+ ** <tt>--test-unit</tt>
300
+ ** <tt>--test-spec</tt>
301
+ ** <tt>--tspec</tt>
302
+
303
+ * The automated test generator was crashing when parsing module parameters of an input file which did not have any module parameters. This has been fixed.
304
+
305
+ * Generated Verilog benches now contain simpler clock generation code.
306
+
307
+ * Generated runners now contain
308
+ ** a @:setup@ task which is invoked before the simulator runs. It can be used to make preprations, such as converting Verilog header files into Ruby, for the simulation.
309
+ ** better explanations to accomodate new users.
310
+
311
+ * Specifications generated in the *generic* format no longer contain a class that is instantiated in the generated Ruby bench.
312
+ <% end %><% section "Version 11.1.1 (2006-11-15)", "11.1.1" do %>This release fixes a bug in the automated test generator, unifies the user manual and the website into a single documentation system, and adds support for rSpec 0.7.2.
313
+
314
+
315
+ h2. Thanks
316
+
317
+ * John Burr found the bug in the automated test generator.
318
+
319
+
320
+ h2. Caution
321
+
322
+ * The user manual is now available _only_ in HTML format. If you still wish to have a plain-text version, you can use a text-based browser, such as "lynx":http://lynx.browser.org/, to perform the necessary conversion.
323
+
324
+
325
+ h2. Details
326
+
327
+ * The automated test generator no longer crashes when parsing a Verilog module declaration that contains multiple module parameters.
328
+
329
+ * The user manual and the website are now written using a custom documentation system based on RedCloth and ERB instead of DocBook-XML. This makes it easier to edit the user manual and reuse its content in other areas of the website.
330
+ <% end %><% section "Version 11.1.0 (2006-11-08)", "11.1.0" do %>This release fixes a bug in generated benches, improves the "the @Vpi::Handle@ class":../ref/ruby/classes/Vpi/Handle.html, and improves performance by roughly 20%.
331
+
332
+
333
+ h2. Thanks
334
+
335
+ * Matt Fischler found the bug in generated benches (see below).
336
+
337
+
338
+ h2. Caution
339
+
340
+ * A bug in generated Verilog and Ruby benches, which caused the <pre>ruby: no such file to load -- ruby-vpi (LoadError)</pre> error, has been fixed. Please regenerate your tests to apply this bug fix to your tests.
341
+
342
+
343
+ h2. Details
344
+
345
+ * The class @SWIG::TYPE_p_unsigned_int@ has been aliased as @Vpi::Handle@ for easier access.
346
+
347
+ * @Vpi::Handle.to_s@ and @Vpi::Handle.inspect@ now produce more informative output. For example, what used to appear as <pre>#<SWIG::TYPE_p_unsigned_int:0x2aaab6574fa0></pre> now appears as <pre>#<Vpi::Handle vpiModule fullName=counter_rspec_bench, size=-1, file=counter_rspec_bench.v, lineNo=2></pre> in this release.
348
+ ** You can also provide a list of VPI properties, as names or integer constants, to those methods to see additional information in the output.
349
+
350
+ * @Vpi::Handle@ now implements all methods from @Enumerable@, such as @map@, @each@, @select@, and so on. However, unlike their counterparts in @Enumerable@, these methods also accept a list of VPI properties, as names or integer constants. Thus, you are now able to write @handle.select(:reg, :net) {|h| h.size > 5}@ to obtain a list of child handles to registers and nets whose capacity is greater than 5 bits.
351
+
352
+ * The @Vpi::Handle.[]@, @Vpi::Handle.get_value@, and @Vpi::Handle.put_value@ methods now accept names of VPI properties as well as their integer constants. Thus, you are now able to write @handle[:reg, :net]@ to obtain a list of handles to all child registers and nets.
353
+
354
+ * @Vpi::Handle.method_missing@ has been refactored to cache queries. There is now, approximately, 20% improvement in performance.
355
+
356
+ * @Vpi::Handle.method_missing@ has been fixed to accept operations with multiple underscores. For example, before this release, you could not write @handle.find_all_reg {|r| r.name =~ /foo/}@ because the "find_all_reg" method would be interpreted as the operation "find" and the VPI property "all_reg".
357
+
358
+ * @Vpi::Handle.method_missing@ no longer requires that the first letter of a method be lower case. For example, now you can now write @handle.IntVal@ in addition to @handle.intVal@.
359
+
360
+ * The @IntegerGenerator@ class, in the pipelined ALU example, has been fixed so that multiple instances do not interfere with the generation of each other's prime integers.
361
+
362
+ * Due to a bug in the <tt>Rakefile</tt>, the reference documentation was not included in the previous few releases. This has been fixed.
363
+ <% end %><% section "Version 11.0.0 (2006-11-05)", "11.0.0" do %>This release shortens generated Ruby benches and uses the less confusing notation for defining "singleton methods":http://wiki.rubygarden.org/Ruby/page/show/SingletonTutorial in generated designs and prototypes.
364
+
365
+
366
+ h2. Caution
367
+
368
+ * The arguments for the "@RubyVPI.init_bench@ method":../ref/ruby/classes/RubyVpi.html have changed.
369
+
370
+ * *generate_test.rb* no longer emits undocumented status indicators: _name_ and _format_.
371
+
372
+ * The source code is no longer distributed as a *zip* package, because it seems to be quite underutilized in comparison to the *tgz* and *gem* packages.
373
+
374
+
375
+ h2. Details
376
+
377
+ * Generated Ruby benches have been shortened to just _one_ line of code!
378
+
379
+ * The less confusing notation of <code>def some_object.a_singleton_method
380
+ do_stuff
381
+ end</code> is now used instead of the <code>class << some_object
382
+ def a_singleton_method
383
+ do_stuff
384
+ end
385
+ end</code> notation.
386
+ ** The tutorial and examples have been updated accordingly.
387
+
388
+ * The pipelined ALU example now has an updated @IntegerGenerator@ class.
389
+ <% end %><% section "Version 10.0.0 (2006-11-05)", "10.0.0" do %>This release adds the ability to access a handle's children and reduces the amount of code produced by the automated test generator.
390
+
391
+
392
+ h2. Thanks
393
+
394
+ * Matt Fischler found the problem of misdirected compilation errors in Verilog benches.
395
+
396
+
397
+ h2. Caution
398
+
399
+ * The way in which Ruby-VPI and generated tests interact has changed: the design is now a VPI handle object and the <tt>design.rb</tt> and <tt>proto.rb</tt> files define "singleton methods":http://wiki.rubygarden.org/Ruby/page/show/SingletonTutorial for that object.
400
+ ** I suggest that you regenerate your existing tests (just run *generate_test.rb* again) whilst employing the service of a text merging tool (see the user manual).
401
+
402
+ * You can now access a handle's children by simply calling methods on it. In the event that a child handle has the same name as a VPI property, the child is given priority. However, you can always access VPI properties explicitly via the @get_value@ and @put_value@ methods.
403
+
404
+ * *generate_test.rb* no longer accepts the <pre>-s</pre> and <pre>-u</pre> options. Use their longer counterparts <pre>--rspec</pre> and <pre>--xunit</pre> instead.
405
+
406
+
407
+
408
+ h2. Details
409
+
410
+ h3. Automated test generator
411
+
412
+ * Generated Verilog benches no longer divert compilation errors from Verilog design files. That is, if the Verilog simulator finds compilation errors in a Verilog design file, it will report that the Verilog design file is at fault (instead of reporting that the generated Verilog bench is at fault).
413
+
414
+ * The user is now notified when a backup of an existing file is made.
415
+
416
+ * Generated tests have been greatly simplified to reduce the amount of work for the user.
417
+ ** The design is now just a handle to the module in the Verilog bench.
418
+ ** @include Vpi@ and @@design@ are no longer generated.
419
+ ** The tutorial and examples have been updated accordingly.
420
+
421
+ h3. User manual
422
+
423
+ * Revised the stylesheet to make disjoint sections readily distinguishable from each other, through generous spacing and minor coloring.
424
+
425
+ * Added tips about <tt>ruby-vpi/runner_proxy</tt>, <pre>rake -T</pre>, and using *kdiff3* with *generate_test.rb*.
426
+
427
+ * Moved installation information into a new "setup" chapter.
428
+ <% end %><% section "Version 9.0.0 (2006-10-28)", "9.0.0" do %>This release improves the automated test generator and adds new content to the user manual.
429
+
430
+
431
+ h2. Thanks
432
+
433
+ * Matt Fischler helped test and debug the installation of Ruby-VPI on Windows.
434
+
435
+
436
+ h2. Caution
437
+
438
+ * The command-line options for *generate_test.rb* have changed. Run the command <pre>generate_test.rb --help</pre> for details.
439
+
440
+
441
+ h2. Details
442
+
443
+ * Test runners now attempt to resolve paths in @SIMULATOR_SOURCES@ by searching for them within the directories specified in @SIMULATOR_INCLUDES@.
444
+
445
+ * Synopsys VCS is now used in a better way (via the <pre>-load</pre> option).
446
+ ** The old PLI table file (<tt>synopsys_vcs.tab</tt>) has been removed.
447
+ ** The C extension has been revised accordingly.
448
+
449
+ ** The C extension now compiles without errors in Cygwin.
450
+
451
+ * The automated test generator now:
452
+ ** Only creates output files when necessary.
453
+ ** Updates existing output files using "text merging tools":manual.html#setup.recom if possible. (Refer to @MERGER@ in the help information of *generate_test.rb*.)
454
+ ** Emits progress information similar to Ruby on Rails.
455
+
456
+ * The user manual now:
457
+ ** Includes instructions for installing on Windows (via Cygwin).
458
+ ** Has a recommended software section, with links to text merging tools.
459
+ ** Uses the term "xUnit":http://en.wikipedia.org/wiki/XUnit instead of "unit test".
460
+ ** Correctly spells "rSpec".
461
+ <% end %><% section "Version 8.2.0 (2006-10-24)", "8.2.0" do %>This release simplifies configuration of generated tests.
462
+
463
+
464
+ h2. Thanks
465
+
466
+ * "Students in the CMPE-126 class":http://www.soe.ucsc.edu/classes/cmpe126/Fall06/ for their comments and criticisms.
467
+
468
+
469
+ h2. Caution
470
+
471
+ * Generated runners now have a @SIMULATOR_INCLUDES@ array, whose entries specify places where Verilog source files, needed by the Verilog design, reside.
472
+
473
+
474
+ h2. Details
475
+
476
+ * Generated Verilog benches now inherit all @`include@ and @`define@ directives from the Verilog design in a simpler manner.
477
+ * A generated test no longer requires its Verilog design to reside in the same directory.
478
+ * The sample tests have been updated accordingly.
479
+ <% end %><% section "Version 8.1.0 (2006-10-21)", "8.1.0" do %>This release adds an integer library and renames the vpi_util library.
480
+
481
+
482
+ h2. Caution
483
+
484
+ * The *vpi_util* library has been renamed to *vpi*. This change should not affect your code unless you explicitly imported this library via @require 'ruby-vpi/vpi_util'@. In which case, you should update your code to use @require 'ruby-vpi/vpi'@ accordingly.
485
+
486
+
487
+ h2. Details
488
+
489
+ * An integer library has been added to simplify common tasks related to hardware and the binary number system. You can import this library for use via @require 'ruby-vpi/integer'@.
490
+ <% end %><% section "Version 8.0.0 (2006-10-13)", "8.0.0" do %>This release renames some identifiers for consistency, updates the documentation, and improves integration with RubyGems.
491
+
492
+
493
+ h2. Caution
494
+
495
+ * The @RubyVPI@ module has been renamed to @RubyVpi@.
496
+
497
+ * The @PROTO@ environment variable has been renamed to @PROTOTYPE@.
498
+ ** In addition to using *unset*, you can now disable simulation of the prototype by assigning an empty value to this variable.
499
+
500
+
501
+ h2. Details
502
+
503
+ * We now use "Darcs":http://darcs.net for revision control, instead of Subversion. The source repository can now be accessed at "http://ruby-vpi.rubyforge.org/src/ruby-vpi":http://ruby-vpi.rubyforge.org/src/ruby-vpi.
504
+
505
+ * The source code is now distributed in tar.gz and zip form, in addition to gem.
506
+
507
+ * Some identifiers have been renamed for better consistency.
508
+
509
+ * The user manual now resides directly within the <tt>doc/</tt> directory.
510
+ ** The integration of documentation in *gem_server* has been improved.
511
+
512
+ * The glossary and tutorial in the user manual have been revised.
513
+ <% end %><% section "Version 7.3.0 (2006-09-30)", "7.3.0" do %>This release fixes a bug in generated Verilog benches, includes parsed constants in generated tests, and adds new methods to handles.
514
+
515
+
516
+ h2. Caution
517
+
518
+ * Handles now have two new methods:
519
+ ** @handle.x?@ checks if the logic value is "don't care"
520
+ ** @handle.z?@ checks if the logic value is high impedance
521
+
522
+
523
+ h2. Details
524
+
525
+ * Module instantiation code in generated Verilog benches has been fixed. Whitespace between the module type and instance variable was missing.
526
+
527
+ * The test generator tool now provides parsed Verilog constants and include-directives in generated Verilog benches; and parsed Verilog constants in generated Ruby designs.
528
+ ** The pipelined ALU example has been revised accordingly.
529
+
530
+ * A library for parsing Verilog source code has been added as <tt>lib/ruby-vpi/verilog_parser.rb</tt>.
531
+ <% end %><% section "Version 7.2.0 (2006-09-15)", "7.2.0" do %>This release adds initial support for code coverage analysis via the "rcov library":http://eigenclass.org/hiki.rb?rcov.
532
+
533
+
534
+ h2. Thanks
535
+
536
+ * Mauricio Fernandez helped me use rcov without its runner.
537
+
538
+
539
+ h2. Details
540
+
541
+ * Added initial support (full capabilites of the rcov library, such as its various analysis modes, are not yet utilized) for code coverage analysis, which can be enabled by setting the @COVERAGE@ environment variable to a non-empty value before running a test.
542
+ ** For example, you can run a test with the GPL Cver simulator while enabling the generation of code coverage reports as follows: <pre>export COVERAGE=1; rake cver</pre>
543
+
544
+ * Coverage reports are generated in text and HTML formats.
545
+ <% end %><% section "Version 7.1.0 (2006-08-29)", "7.1.0" do %>This release simplifies running of multiple tests, restores compatibility with Synopsys VCS, and better integrates with RubyGems.
546
+
547
+
548
+ h2. Details
549
+
550
+ * Added <tt>lib/ruby-vpi/runner_proxy.rb</tt> library which, when embedded into a Rakefile, runs all generated tests in the working directory with any specified arguments. For example, have a look at the <tt>samp/counter/Rakefile</tt> file.
551
+
552
+ * Fixed a bug that prevented one from running tests with Synopsys VCS.
553
+
554
+ * Ruby-VPI documentation is now available through RubyGems' "*gem_server* web interface":http://docs.rubygems.org/read/chapter/2#page10.
555
+
556
+ * The user manual now discusses how to determine the location of a Ruby-VPI installation.
557
+
558
+ * The <tt>tpl/</tt> directory has been removed.
559
+ <% end %><% section "Version 7.0.0 (2006-08-29)", "7.0.0" do %>This release distributes Ruby-VPI as a gem, improves portability of generated tests, and fixes a bug.
560
+
561
+
562
+ h2. Caution
563
+
564
+ * @put_value()@ now accomodates register value overflows when verifying the value it has written.
565
+
566
+ * The test generator's templates and the examples have been updated as follows:
567
+ ** Test runners no longer have to specify the path to Ruby-VPI installation directory. Instead, they simply @require 'ruby-vpi/runner'@. Thus, you can run your tests on any machine with Ruby-VPI without having to know where it is installed.
568
+ ** Ruby benches now invoke @RubyVPI.init_bench@ instead of @require 'bench'; setup_bench@ to become initialized.
569
+
570
+ * All Ruby libraries in the <tt>lib/</tt> directory have been moved into <tt>lib/ruby-vpi/</tt> to prevent load-path clashes with other gems. You should access these libraries by prefixing their path with "ruby-vpi". For example, @require 'vpi_util'@ should now be written as @require 'ruby-vpi/vpi_util'@.
571
+
572
+ * The <tt>src/</tt> directory has been renamed to <tt>ext/</tt> to follow RubyGems convention.
573
+
574
+
575
+ h2. Details
576
+
577
+ * Ruby-VPI is now distributed only as a "source gem":http://www.rubygems.org. You can decompress the gem without installing it onto your system by running the command <pre>gem install -i output_directory ruby-vpi-7.0.0.gem</pre>. In addition, you can still obtain the source code directly from the Subversion repository.
578
+
579
+ * The tools in <tt>bin/</tt> become available in your system's <tt>bin/</tt> directory when the gem is installed.
580
+ ** Usage and help information has been added to the *header_to_ruby.rb* tool.
581
+
582
+ * Incorrect spelling of GPL Cver (v should be uncapitalized) has been fixed.
583
+
584
+ * Incorrect link to GPL Cver website has been fixed.
585
+
586
+ * The user manual has been updated according to all of the above changes.
587
+ <% end %><% section "Version 6.3.0 (2006-08-27)", "6.3.0" do %>This release adds support for the GPL Cver simulator, provides both binary and source packages, and explicitly defines the version numbering system.
588
+
589
+
590
+ h2. Caution
591
+
592
+ * Ruby-VPI now only needs to be built once.
593
+ ** You can run tests with different Verilog simulators without having to re-compile Ruby-VPI.
594
+
595
+
596
+ h2. Details
597
+
598
+ * The GPL Cver simulator is now supported.
599
+
600
+ * Release packages are now available in both source and binary form.
601
+
602
+ * The RSpec based specifications for the counter example now use the @should_equal@ instead of the @should_be@ assertion, because the latter ensures strict equivalence. For instance, it will fail when a Fixnum is compared to a Bignum.
603
+
604
+ * We now follow the RubyGems project's "rational versioning policy":http://www.rubygems.org/read/chapter/7. The version numbers of previous releases have been revised accordingly:
605
+ |_. Release date |_. Original version number |_. New version number |
606
+ | 1999-10-31 | 0.0 | 0.0.0 |
607
+ | 2006-02-26 | 0.1 | 1.0.0 |
608
+ | 2006-04-17 | 0.2 | 2.0.0 |
609
+ | 2006-04-23 | 0.3 | 3.0.0 |
610
+ | 2006-04-28 | 0.4 | 3.1.0 |
611
+ | 2006-05-13 | 0.5 | 3.2.0 |
612
+ | 2006-05-25 | 0.6 | 4.0.0 |
613
+ | 2006-07-22 | 0.7 | 5.0.0 |
614
+ | 2006-07-29 | 0.8 | 5.1.0 |
615
+ | 2006-08-04 | 0.8.1 | 5.1.1 |
616
+ | 2006-08-07 | 0.9 | 6.0.0 |
617
+ | 2006-08-09 | 0.9.1 | 6.1.0 |
618
+ | 2006-08-26 | 0.9.2 | 6.2.0 |
619
+ <% end %><% section "Version 6.2.0 (2006-08-26)", "6.2.0" do %>This release beautifies generated tests, adds checks to find signal width bugs, and includes a new tool.
620
+
621
+
622
+ h2. Caution
623
+
624
+ * @put_value()@ now returns the value it puts. Therefore, it is easier to chain together a series of assignments: @foo.intVal = bar.intVal = baz.intVal = 10@
625
+
626
+ * @put_value()@ now verifies that the value it puts was written correctly. This helps to find bugs regarding invalid assumptions about the number of bits supported by a signal.
627
+
628
+
629
+ h2. Details
630
+
631
+ * The test generator now uses ERB templates which ensures nice indentation of generated output.
632
+
633
+ * The test generator now decouples Ruby-VPI paths from Verilog benches via the RUBYLIB environment variable.
634
+
635
+ * A tool which converts Verilog headers into Ruby has been added.
636
+
637
+ * The source code is now indented with two spaces instead of one tab character.
638
+ <% end %><% section "Version 6.1.0 (2006-08-09)", "6.1.0" do %>This release simplifies generated tests and fixes a bug.
639
+
640
+
641
+ h2. Details
642
+
643
+ * The test generator now produces simpler Ruby benches and specifications.
644
+ ** A template used by generated specifications has been added.
645
+ ** The counter example and tutorial have also been simplified accordingly.
646
+
647
+ * Boolean VPI property values are now correctly converted into Ruby truth values.
648
+ <% end %><% section "Version 6.0.0 (2006-08-07)", "6.0.0" do %>This release adds support and documentation for rapid prototyping of Verilog designs in Ruby.
649
+
650
+
651
+ h2. Caution
652
+
653
+ * The directory structure has been reorganized as follows:
654
+ ** <tt>ext</tt> &rarr; <tt>src</tt>
655
+ ** <tt>tools</tt> &rarr; <tt>bin</tt>
656
+ ** <tt>examples</tt> &rarr; <tt>samp</tt>
657
+
658
+ * All template files have been moved into the <tt>tpl</tt> directory.
659
+
660
+
661
+ h2. Details
662
+
663
+ * Support for prototyping designs in Ruby, before they are implemented in Verilog, has been added.
664
+ ** The _same_ specification can be used to verify both prototype _and_ design! :-)
665
+ ** The tutorial in the user manual has been updated to discuss prototyping.
666
+ *** Prototypes have been added to the counter example.
667
+
668
+ * The user manual has been revised for consistency.
669
+ <% end %><% section "Version 5.1.1 (2006-08-04)", "5.1.1" do %>This release fixes unreadable syntax coloring in the user manual.
670
+
671
+
672
+ h2. Thanks
673
+
674
+ * Todd Nagengast and Matt Fischler notified me about unreadable text in the user manual.
675
+
676
+
677
+ h2. Details
678
+
679
+ * Source code comments in the user manual are now readable.
680
+
681
+ * The <tt>README</tt> and <tt>HISTORY</tt> files have been converted to "textile":http://www.textism.com/tools/textile/ format.
682
+ <% end %><% section "Version 5.1.0 (2006-07-29)", "5.1.0" do %>This release adds a tutorial to the user manual, and improves the tools and examples.
683
+
684
+
685
+ h2. Thanks
686
+
687
+ * Phil Tomson corrected the URL of the RHDL website in the user manual.
688
+
689
+
690
+ h2. Details
691
+
692
+ h3. User manual
693
+
694
+ * A tutorial, based on the counter example, has been added to the user manual.
695
+
696
+ * The user manual's appearance has been dramatically improved with syntax coloring for source code, and "graphics for admonitions and navigation":http://tango.freedesktop.org.
697
+
698
+ h3. Examples
699
+
700
+ * A specification, expressed in unit test format, has been added to the counter example.
701
+
702
+ * The <pre>--name</pre> and <pre>-n</pre> options, which lets you specify an identifier for a generated test, have been added to the *generate_test.rb* tool.
703
+
704
+ * Running <pre>rake clobber</pre> in an example directory no longer destroys documentation in the Ruby-VPI directory.
705
+
706
+ * The examples' Rakefiles now invoke all tests present in the directory via the <tt>launcher_template.rake</tt> template.
707
+
708
+ * The Rake template for test runners (generated by <tt>tools/generate_test.rb</tt>) has moved from <tt>examples/template.rake</tt> to <tt>examples/runner_template.rake</tt>.
709
+
710
+ h3. VPI utility layer
711
+
712
+ * The value of a handle's boolean VPI property is treated as @false@ if it is zero, because zero is @false@ in the C language.
713
+ <% end %><% section "Version 5.0.0 (2006-07-22)", "5.0.0" do %>This release enhances the VPI utility layer and adds new content to the user manual.
714
+
715
+
716
+ h2. Thanks
717
+
718
+ * Jan Decaluwe corrected the description of MyHDL in the user manual.
719
+
720
+
721
+ h2. Caution
722
+
723
+ * SWIG is no longer required for users because its output is included in the release package.
724
+
725
+ * The @handle.value@ and @handle.value=@ methods have been removed.
726
+
727
+
728
+ h2. Details
729
+
730
+ * The VPI utility layer provides access to VPI properties of handles in a "simpler, more powerful way":manual.html#background.org.vpi.
731
+
732
+ ** All VPI properties, except delay values, are now accessible from a handle.
733
+
734
+ * The user manual has been revised and new content in the organization and usage sections has been added.
735
+
736
+ * This release was tested and developed using:
737
+ ** Ruby 1.8.4 and Icarus Verilog 0.8 on i686 GNU/Linux
738
+ ** Ruby 1.8.4 and Mentor Modelsim 6.1d on x86_64 GNU/Linux
739
+ <% end %><% section "Version 4.0.0 (2006-05-25)", "4.0.0" do %>This release adds a comprehensive user manual, upgrades from make to "Rake":http://rake.rubyforge.org, and improves the test generation tool.
740
+
741
+
742
+ h2. Thanks
743
+
744
+ * Jose Renau solved the problem of strange delays that occurred whenever a design was reset.
745
+
746
+
747
+ h2. Caution
748
+
749
+ * Rake has replaced the role of *make*. All makefiles have been converted accordingly.
750
+
751
+ * The test generation tool now generates multiple files (runner, bench, design, spec) and makes backups of existing files. See its help information for details.
752
+
753
+
754
+ h2. Details
755
+
756
+ * A comprehensive user manual, written in DocBook-XML, has been added.
757
+
758
+ * Support for RSpec 0.5.4 has been added.
759
+ ** The counter example now makes use of RSpec.
760
+
761
+ * This release was tested and developed using:
762
+ ** Ruby 1.8.4 and Icarus Verilog 0.8 on i686 GNU/Linux
763
+ ** Ruby 1.8.4 and Mentor Modelsim 6.1d on x86_64 GNU/Linux
764
+ <% end %><% section "Version 3.2.0 (2006-05-13)", "3.2.0" do %>This release adds a tool which generates test benches, and adds support for "Behavior Driven Development":http://behaviour-driven.org via the "RSpec":http://rspec.rubyforge.org library.
765
+
766
+
767
+ h2. Thanks
768
+
769
+ * Scott L Holmes helped me "use the RSpec library without its runner":http://article.gmane.org/gmane.comp.lang.ruby.general/150087 program.
770
+
771
+
772
+ h2. Details
773
+
774
+ * A tool, which generates most of a Ruby-VPI test bench from a Verilog 2001 module declaration, has been added.
775
+
776
+ * Ability to use RSpec in a test bench has been added.
777
+
778
+ * Ability to specify arbitrary command-line arguments to Verilog simulators has been added in the examples' makefile template.
779
+
780
+ * This release was tested and developed using:
781
+ ** Ruby 1.8.4 and Icarus Verilog 0.8 on i686 GNU/Linux
782
+ ** Ruby 1.8.4 and Mentor Modelsim 6.1d on x86_64 GNU/Linux
783
+ <% end %><% section "Version 3.1.0 (2006-04-28)", "3.1.0" do %>This release adds simple ways of reading and writing values to VPI handles, adds documentation for the VPI utility layer, and fixes the pipelined ALU example.
784
+
785
+
786
+ h2. Caution
787
+
788
+ * The *msim* Makefile target for Mentor Modelsim has been renamed back to *vsim*.
789
+
790
+
791
+ h2. Details
792
+
793
+ * Simple, consistent ways of reading and writing values to handles have been added to the VPI utility layer. These ways are described in the @SWIG::TYPE_p_unsigned_int@ class' documentation.
794
+
795
+ * The makefiles now use the *rbconfig* library to determine the default compiler and linker flags for Ruby.
796
+
797
+ * A race condition in the pipelined ALU example has been fixed. This example should now run successfully in all Verilog simulators.
798
+
799
+ * The project wiki has been abandoned in favor of RDoc.
800
+
801
+ * The project website is now generated by RDoc.
802
+
803
+ * This release was tested and developed using:
804
+ ** Ruby 1.8.4 and Icarus Verilog 0.8 on i686 GNU/Linux
805
+ ** Ruby 1.8.4 and Mentor Modelsim 6.1d on x86_64 GNU/Linux
806
+ <% end %><% section "Version 3.0.0 (2006-04-23)", "3.0.0" do %>This release adds support for the _entire_ "IEEE Std. 1364-2005":http://ieeexplore.ieee.org/xpl/standardstoc.jsp?isnumber=33945 VPI interface, and updates the examples into unit tests.
807
+
808
+
809
+ h2. Thanks
810
+
811
+ * The "SWIG developers":http://www.swig.org/guilty.html made this project _much_ easier! ;-)
812
+
813
+
814
+ h2. Caution
815
+
816
+ * The *vsim* Makefile target for Mentor Modelsim has been renamed to *msim*.
817
+
818
+
819
+ h2. Details
820
+
821
+ * The Ruby interface to VPI is now generated by "SWIG":http://www.swig.org.
822
+ ** The main @VPI@ module has been renamed to @Vpi@.
823
+ ** The @VPI::Handle@ class has been removed.
824
+ ** The @VPI::stop@, @VPI::finish@, and @VPI::reset@ methods have been removed.
825
+
826
+ * The "_final ballot_ version":http://www.boydtechinc.com/ptf/archive/ptf_2005/0737.html of the <tt>vpi_user.h</tt> header file is now packaged along with and used by Ruby-VPI.
827
+
828
+ * The VPI module, its sub-classes, and its functionality have been replaced by the VPI utility layer (see <tt>src/vpi_util.rb</tt>).
829
+
830
+ * The examples now make use of the *test/unit* unit testing framework.
831
+
832
+ * The makefiles for the examples have been simplified through the use of a common template.
833
+
834
+ * This release was tested and developed using:
835
+ ** Ruby 1.8.4 and Icarus Verilog 0.8 on i686 GNU/Linux
836
+ ** Ruby 1.8.4 and Mentor Modelsim 6.1d on x86_64 GNU/Linux
837
+ <% end %><% section "Version 2.0.0 (2006-04-17)", "2.0.0" do %>This release fixes major bugs, adds support for Mentor Modelsim, and removes the @$ruby_task@ callback.
838
+
839
+
840
+ h2. Thanks
841
+
842
+ * Nobu Nakada explained the "cross-thread violation on rb_gc()":http://article.gmane.org/gmane.comp.lang.ruby.general/146653 error.
843
+
844
+
845
+ h2. Caution
846
+
847
+ * Removed @$ruby_task@ callback and ability to dynamically register system tasks from Ruby because inter-process communication is complicated at present.
848
+
849
+
850
+ h2. Details
851
+
852
+ * The "cross-thread violation on rb_gc()" error has been fixed.
853
+ ** The "stack level too deep (SystemStackError)" error has been fixed.
854
+ ** The test/unit library can be used in Ruby test bench.
855
+ ** Mentor Modelsim Verilog simulator works with Ruby-VPI.
856
+
857
+ * Added piplelined ALU example.
858
+
859
+ * This release was tested and developed using:
860
+ ** Ruby 1.8.4 and Icarus Verilog 0.8 on i686 GNU/Linux
861
+ ** Ruby 1.8.4 and Modelsim 6.1d on x86_64 GNU/Linux
862
+ ** Ruby 1.8.4 and Synopsys VCS-MX X-2005.06-SP1 on SPARC4 SunOS 5.10
863
+ <% end %><% section "Version 1.0.0 (2006-02-26)", "1.0.0" do %>This release adds enough Verilog VPI functionality to make Ruby-VPI usable for simple test benches:
864
+ * access Verilog objects through VPI handles
865
+ * read and change VPI handle values
866
+ * stop, finish, restart the simulation
867
+
868
+
869
+ h2. Thanks
870
+
871
+ * Jose Renau helped me debug how Synopsys VCS works with Verilog VPI. The problem was that VCS required calltf signatures to be @void (*)(void)@, whereas the Verilog standard defines a calltf signature as @PLI_INT32 (*)(PLI_BYTE8*)@.
872
+
873
+ * Ross Bamford, Eric Hodel, and Yukihiro Matsumoto "helped me discover":http://blade.nagaokaut.ac.jp/cgi-bin/scat.rb/ruby/ruby-talk/180662 why the @SystemStackError@ was happening.
874
+
875
+
876
+ h2. Details
877
+
878
+ * Implemented some Verilog VPI functionality:
879
+ ** @VPI::handle_by_name(string, VPI::Handle)@ &rarr; @VPI::Handle@
880
+ ** @VPI::handle_by_name(string)@ &rarr; @VPI::Handle@
881
+ ** @VPI::Handle.put_value(integer)@
882
+ ** @VPI::Handle.value = integer@
883
+ ** @VPI::Handle.get_value@ &rarr; @integer@
884
+ ** @VPI::Handle.value@ &rarr; @integer@
885
+ ** @VPI::stop@
886
+ ** @VPI::finish@
887
+ ** @VPI::reset@
888
+
889
+ * Implemented some additional functionality:
890
+ ** @VPI::register_task(string, &proc)@
891
+
892
+ * Added ability to pass arbitrary command-line arguments to the Ruby interpreter (using @$ruby_init@). Now you can invoke any Ruby script you want, instead of <tt>pli_init.rb</tt>.
893
+
894
+ * Added ability to dynamically register system tasks from Ruby, using @VPI::register_task("task name")@, and call them from Verilog, using @$ruby_task("task name")@.
895
+
896
+ * Added @VPI::Handle@ class which encapsulates a @vpiHandle@ VPI object.
897
+
898
+ * This release was tested and developed using:
899
+ ** Ruby 1.8.2 and Icarus Verilog 0.8 on i686 GNU/Linux
900
+ ** Ruby 1.8.4, Synopsys VCS X-2005.06, and Mentor Modelsim 6.1b on i686 GNU/Linux
901
+ <% end %><% section "Version 0.0.0 (1999-10-31)", "0.0.0" do %>This is Kazuhiro HIWADA's initial testing release of Ruby-VPI. See its "original announcement":http://blade.nagaokaut.ac.jp/cgi-bin/scat.rb/ruby/ruby-list/18193 and "source code":http://rubyforge.org/frs/?group_id=1339&release_id=8852.
902
+
903
+
904
+ h2. Details
905
+
906
+ * Added ability to relay control from Verilog test bench to Ruby (using @$ruby_callback@) and vice versa (using @PLI::relay_Verilog@).
907
+
908
+ * Tested and developed using Ruby 1.4 and Verilog-XL from Cadence systems, on a SPARC machine running Solaris 2.6.
909
+ <% end %>