ruby-vpi 16.0.1 → 17.0.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (247) hide show
  1. data/LICENSE +19 -19
  2. data/README +1 -1
  3. data/Rakefile +35 -32
  4. data/bin/convert.rb +28 -0
  5. data/bin/generate/design.rb +16 -0
  6. data/bin/generate/proto.rb +13 -0
  7. data/bin/generate/runner.rake +33 -0
  8. data/bin/generate/spec.rb +45 -0
  9. data/bin/generate.rb +177 -0
  10. data/bin/ruby-vpi +56 -0
  11. data/doc/Rakefile +20 -4
  12. data/doc/common.css +92 -33
  13. data/doc/common.inc +13 -0
  14. data/doc/common.tpl +42 -28
  15. data/doc/history.doc +11 -11
  16. data/doc/history.html +769 -248
  17. data/doc/history.inc +909 -0
  18. data/doc/history.rb +9 -0
  19. data/doc/history.yaml +69 -0
  20. data/doc/intro.inc +170 -178
  21. data/doc/lib/doc_format.rb +57 -144
  22. data/doc/lib/doc_proxy.rb +504 -88
  23. data/doc/lib/erb_content.rb +8 -8
  24. data/doc/lib/erb_proxy.rb +17 -17
  25. data/doc/manual.doc +626 -777
  26. data/doc/manual.html +1541 -1031
  27. data/doc/memo.doc +38 -36
  28. data/doc/memo.html +64 -28
  29. data/doc/readme.doc +4 -31
  30. data/doc/readme.html +221 -163
  31. data/doc/rss.erb +1 -1
  32. data/doc/rss.xml +73 -1761
  33. data/ext/Rakefile +6 -5
  34. data/ext/main.c +17 -15
  35. data/ext/relay.c +4 -7
  36. data/ext/relay.h +2 -2
  37. data/ext/swig_vpi.h +2 -2
  38. data/ext/swig_vpi.i +1 -2
  39. data/ext/swig_wrap.cin +12 -16
  40. data/ext/vlog.c +5 -5
  41. data/ext/vlog.h +2 -2
  42. data/lib/ruby-vpi/erb.rb +3 -3
  43. data/lib/ruby-vpi/float.rb +2 -2
  44. data/lib/ruby-vpi/rcov.rb +5 -7
  45. data/lib/ruby-vpi/runner.rb +43 -41
  46. data/lib/ruby-vpi/runner_boot_loader.rb +117 -0
  47. data/lib/ruby-vpi/runner_proxy.rb +6 -8
  48. data/lib/ruby-vpi/util.rb +10 -0
  49. data/lib/ruby-vpi/verilog_parser.rb +28 -56
  50. data/lib/ruby-vpi/vpi.rb +168 -123
  51. data/lib/ruby-vpi.rb +22 -143
  52. data/ref/c/annotated.html +1 -1
  53. data/ref/c/common_8h.html +1 -1
  54. data/ref/c/files.html +1 -1
  55. data/ref/c/functions.html +1 -1
  56. data/ref/c/functions_vars.html +1 -1
  57. data/ref/c/globals.html +1 -1
  58. data/ref/c/globals_0x63.html +1 -1
  59. data/ref/c/globals_0x65.html +1 -1
  60. data/ref/c/globals_0x66.html +1 -1
  61. data/ref/c/globals_0x6d.html +1 -1
  62. data/ref/c/globals_0x70.html +1 -1
  63. data/ref/c/globals_0x72.html +1 -1
  64. data/ref/c/globals_0x73.html +1 -1
  65. data/ref/c/globals_0x74.html +1 -1
  66. data/ref/c/globals_0x76.html +1 -1
  67. data/ref/c/globals_0x78.html +1 -1
  68. data/ref/c/globals_defs.html +1 -1
  69. data/ref/c/globals_defs_0x65.html +1 -1
  70. data/ref/c/globals_defs_0x70.html +1 -1
  71. data/ref/c/globals_defs_0x76.html +1 -1
  72. data/ref/c/globals_defs_0x78.html +1 -1
  73. data/ref/c/globals_enum.html +1 -1
  74. data/ref/c/globals_eval.html +1 -1
  75. data/ref/c/globals_func.html +1 -1
  76. data/ref/c/globals_type.html +1 -1
  77. data/ref/c/globals_vars.html +1 -1
  78. data/ref/c/index.html +1 -1
  79. data/ref/c/main_8c.html +1 -1
  80. data/ref/c/main_8h.html +1 -1
  81. data/ref/c/relay_8c.html +1 -1
  82. data/ref/c/relay_8h.html +1 -1
  83. data/ref/c/structt__cb__data.html +1 -1
  84. data/ref/c/structt__vpi__delay.html +1 -1
  85. data/ref/c/structt__vpi__error__info.html +1 -1
  86. data/ref/c/structt__vpi__strengthval.html +1 -1
  87. data/ref/c/structt__vpi__systf__data.html +1 -1
  88. data/ref/c/structt__vpi__time.html +1 -1
  89. data/ref/c/structt__vpi__value.html +1 -1
  90. data/ref/c/structt__vpi__vecval.html +1 -1
  91. data/ref/c/structt__vpi__vlog__info.html +1 -1
  92. data/ref/c/verilog_8h.html +1 -1
  93. data/ref/c/vlog_8c.html +1 -1
  94. data/ref/c/vlog_8h.html +1 -1
  95. data/ref/c/vpi__user_8h.html +1 -1
  96. data/ref/ruby/classes/ERB.html +5 -5
  97. data/ref/ruby/classes/ERB.src/{M000024.html → M000026.html} +0 -0
  98. data/ref/ruby/classes/FileUtils.html +11 -11
  99. data/ref/ruby/classes/FileUtils.src/{M000025.html → M000027.html} +0 -0
  100. data/ref/ruby/classes/FileUtils.src/{M000026.html → M000028.html} +0 -0
  101. data/ref/ruby/classes/Float.html +6 -6
  102. data/ref/ruby/classes/Float.src/{M000020.html → M000021.html} +0 -0
  103. data/ref/ruby/classes/Integer.html +65 -65
  104. data/ref/ruby/classes/Integer.src/M000009.html +12 -5
  105. data/ref/ruby/classes/Integer.src/M000010.html +5 -5
  106. data/ref/ruby/classes/Integer.src/M000011.html +5 -5
  107. data/ref/ruby/classes/Integer.src/M000012.html +5 -5
  108. data/ref/ruby/classes/Integer.src/M000013.html +5 -5
  109. data/ref/ruby/classes/Integer.src/M000014.html +18 -0
  110. data/ref/ruby/classes/Integer.src/M000017.html +12 -18
  111. data/ref/ruby/classes/Integer.src/M000018.html +18 -12
  112. data/ref/ruby/classes/Integer.src/M000019.html +12 -17
  113. data/ref/ruby/classes/Integer.src/M000020.html +30 -0
  114. data/ref/ruby/classes/RDoc.html +5 -5
  115. data/ref/ruby/classes/RDoc.src/{M000053.html → M000058.html} +0 -0
  116. data/ref/ruby/classes/{RubyVpi/Config.html → RubyVPI.html} +20 -6
  117. data/ref/ruby/classes/String.html +34 -15
  118. data/ref/ruby/classes/String.src/M000022.html +5 -28
  119. data/ref/ruby/classes/String.src/M000023.html +5 -5
  120. data/ref/ruby/classes/String.src/{M000021.html → M000024.html} +0 -0
  121. data/ref/ruby/classes/String.src/M000025.html +41 -0
  122. data/ref/ruby/classes/VerilogParser/Module/Port.html +16 -36
  123. data/ref/ruby/classes/VerilogParser/Module/Port.src/M000006.html +10 -5
  124. data/ref/ruby/classes/VerilogParser/Module/Port.src/{M000004.html → M000007.html} +4 -4
  125. data/ref/ruby/classes/VerilogParser/Module/Port.src/{M000005.html → M000008.html} +4 -4
  126. data/ref/ruby/classes/VerilogParser/Module.html +28 -9
  127. data/ref/ruby/classes/VerilogParser/Module.src/M000005.html +29 -0
  128. data/ref/ruby/classes/VerilogParser.html +5 -39
  129. data/ref/ruby/classes/VerilogParser.src/M000004.html +26 -0
  130. data/ref/ruby/classes/Vpi/Handle.html +179 -77
  131. data/ref/ruby/classes/Vpi/Handle.src/M000035.html +18 -0
  132. data/ref/ruby/classes/Vpi/Handle.src/M000036.html +5 -5
  133. data/ref/ruby/classes/Vpi/Handle.src/M000037.html +5 -5
  134. data/ref/ruby/classes/Vpi/Handle.src/M000038.html +5 -5
  135. data/ref/ruby/classes/Vpi/Handle.src/M000039.html +5 -5
  136. data/ref/ruby/classes/Vpi/Handle.src/M000040.html +5 -8
  137. data/ref/ruby/classes/Vpi/Handle.src/M000041.html +5 -8
  138. data/ref/ruby/classes/Vpi/Handle.src/M000042.html +5 -9
  139. data/ref/ruby/classes/Vpi/Handle.src/M000043.html +8 -31
  140. data/ref/ruby/classes/Vpi/Handle.src/M000044.html +8 -74
  141. data/ref/ruby/classes/Vpi/Handle.src/M000045.html +9 -17
  142. data/ref/ruby/classes/Vpi/Handle.src/M000046.html +31 -11
  143. data/ref/ruby/classes/Vpi/Handle.src/M000047.html +86 -0
  144. data/ref/ruby/classes/Vpi/Handle.src/M000048.html +17 -18
  145. data/ref/ruby/classes/Vpi/Handle.src/M000050.html +18 -0
  146. data/ref/ruby/classes/Vpi/Handle.src/M000051.html +24 -0
  147. data/ref/ruby/classes/Vpi/Handle.src/M000053.html +31 -0
  148. data/ref/ruby/classes/Vpi/Handle.src/M000054.html +89 -0
  149. data/ref/ruby/classes/Vpi/S_vpi_time.html +16 -16
  150. data/ref/ruby/classes/Vpi/S_vpi_time.src/{M000050.html → M000055.html} +4 -4
  151. data/ref/ruby/classes/Vpi/S_vpi_time.src/{M000051.html → M000056.html} +5 -5
  152. data/ref/ruby/classes/Vpi/S_vpi_value.html +15 -15
  153. data/ref/ruby/classes/Vpi/S_vpi_value.src/{M000035.html → M000032.html} +5 -5
  154. data/ref/ruby/classes/Vpi/S_vpi_value.src/M000033.html +5 -5
  155. data/ref/ruby/classes/Vpi/S_vpi_value.src/M000034.html +5 -5
  156. data/ref/ruby/classes/Vpi.html +6 -42
  157. data/ref/ruby/classes/Vpi.src/M000029.html +15 -5
  158. data/ref/ruby/classes/Vpi.src/M000030.html +24 -24
  159. data/ref/ruby/classes/Vpi.src/M000031.html +6 -8
  160. data/ref/ruby/created.rid +1 -1
  161. data/ref/ruby/files/bin/{header_to_ruby_rb.html → convert_rb.html} +5 -5
  162. data/ref/ruby/files/bin/{generate_test_rb.html → generate_rb.html} +8 -21
  163. data/ref/ruby/files/lib/ruby-vpi/erb_rb.html +1 -1
  164. data/ref/ruby/files/lib/ruby-vpi/float_rb.html +1 -1
  165. data/ref/ruby/files/lib/ruby-vpi/integer_rb.html +1 -1
  166. data/ref/ruby/files/lib/ruby-vpi/rake_rb.html +1 -1
  167. data/ref/ruby/files/lib/ruby-vpi/rcov_rb.html +1 -1
  168. data/ref/ruby/files/lib/ruby-vpi/rdoc_rb.html +1 -1
  169. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.html +197 -0
  170. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.src/M000001.html +17 -0
  171. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.src/M000002.html +18 -0
  172. data/ref/ruby/files/lib/ruby-vpi/runner_proxy_rb.html +1 -1
  173. data/ref/ruby/files/lib/ruby-vpi/runner_rb.html +6 -19
  174. data/ref/ruby/files/lib/ruby-vpi/util_rb.html +101 -0
  175. data/ref/ruby/files/lib/ruby-vpi/verilog_parser_rb.html +8 -1
  176. data/ref/ruby/files/lib/ruby-vpi/vpi_rb.html +1 -1
  177. data/ref/ruby/files/lib/ruby-vpi_rb.html +2 -14
  178. data/ref/ruby/fr_class_index.html +1 -3
  179. data/ref/ruby/fr_file_index.html +4 -2
  180. data/ref/ruby/fr_method_index.html +56 -51
  181. data/ref/ruby/index.html +1 -1
  182. data/samp/counter/RSpec/Rakefile +1 -0
  183. data/samp/counter/RSpec/counter_design.rb +15 -0
  184. data/samp/counter/RSpec/counter_proto.rb +10 -0
  185. data/samp/counter/RSpec/counter_runner.rake +44 -0
  186. data/samp/counter/RSpec/counter_spec.rb +39 -0
  187. data/samp/counter/Rakefile +1 -1
  188. data/samp/counter/counter.v +7 -7
  189. data/samp/counter/xUnit/Rakefile +1 -0
  190. data/samp/counter/xUnit/counter_bench.rb +95 -0
  191. data/samp/counter/{counter_xunit_bench.v → xUnit/counter_bench.v} +0 -0
  192. data/samp/counter/xUnit/counter_design.rb +15 -0
  193. data/samp/counter/xUnit/counter_proto.rb +10 -0
  194. data/samp/counter/xUnit/counter_runner.rake +44 -0
  195. data/samp/counter/{counter_xunit_spec.rb → xUnit/counter_spec.rb} +9 -9
  196. data/samp/pipelined_alu/Rakefile +1 -1
  197. data/samp/pipelined_alu/TestHw5UnitModel.rb +4 -5
  198. data/samp/pipelined_alu/hw5_unit.v +55 -85
  199. data/samp/pipelined_alu/hw5_unit_design.rb +51 -0
  200. data/samp/pipelined_alu/hw5_unit_proto.rb +4 -0
  201. data/samp/pipelined_alu/hw5_unit_runner.rake +43 -0
  202. data/samp/pipelined_alu/hw5_unit_spec.rb +64 -0
  203. data/samp/register_file/LICENSE +20 -0
  204. data/samp/register_file/README +4 -0
  205. data/samp/register_file/Rakefile +1 -0
  206. data/samp/register_file/register_file.v +18 -0
  207. data/samp/register_file/register_file_design.rb +11 -0
  208. data/samp/register_file/register_file_proto.rb +11 -0
  209. data/samp/register_file/register_file_runner.rake +43 -0
  210. data/samp/register_file/register_file_spec.rb +58 -0
  211. metadata +78 -66
  212. data/bin/generate_test.rb +0 -200
  213. data/bin/generate_test_tpl/bench.rb +0 -89
  214. data/bin/generate_test_tpl/bench.v +0 -26
  215. data/bin/generate_test_tpl/design.rb +0 -11
  216. data/bin/generate_test_tpl/proto.rb +0 -16
  217. data/bin/generate_test_tpl/runner.rake +0 -42
  218. data/bin/generate_test_tpl/spec.rb +0 -37
  219. data/bin/header_to_ruby.rb +0 -27
  220. data/ref/ruby/classes/Integer.src/M000008.html +0 -25
  221. data/ref/ruby/classes/Integer.src/M000016.html +0 -25
  222. data/ref/ruby/classes/RubyVpi.html +0 -199
  223. data/ref/ruby/classes/RubyVpi.src/M000027.html +0 -121
  224. data/ref/ruby/classes/VerilogParser/Module/Parameter.html +0 -160
  225. data/ref/ruby/classes/VerilogParser/Module/Parameter.src/M000007.html +0 -19
  226. data/ref/ruby/classes/VerilogParser/Module/Port.src/M000003.html +0 -21
  227. data/ref/ruby/classes/VerilogParser/Module.src/M000002.html +0 -34
  228. data/ref/ruby/classes/VerilogParser.src/M000001.html +0 -34
  229. data/ref/ruby/classes/Vpi/Handle.src/M000049.html +0 -69
  230. data/ref/ruby/classes/Vpi.src/M000028.html +0 -28
  231. data/ref/ruby/classes/Vpi.src/M000032.html +0 -22
  232. data/samp/counter/counter_rspec_bench.rb +0 -86
  233. data/samp/counter/counter_rspec_bench.v +0 -9
  234. data/samp/counter/counter_rspec_design.rb +0 -8
  235. data/samp/counter/counter_rspec_proto.rb +0 -13
  236. data/samp/counter/counter_rspec_runner.rake +0 -52
  237. data/samp/counter/counter_rspec_spec.rb +0 -39
  238. data/samp/counter/counter_xunit_bench.rb +0 -86
  239. data/samp/counter/counter_xunit_design.rb +0 -8
  240. data/samp/counter/counter_xunit_proto.rb +0 -13
  241. data/samp/counter/counter_xunit_runner.rake +0 -52
  242. data/samp/pipelined_alu/hw5_unit_test_bench.rb +0 -86
  243. data/samp/pipelined_alu/hw5_unit_test_bench.v +0 -14
  244. data/samp/pipelined_alu/hw5_unit_test_design.rb +0 -61
  245. data/samp/pipelined_alu/hw5_unit_test_proto.rb +0 -7
  246. data/samp/pipelined_alu/hw5_unit_test_runner.rake +0 -52
  247. data/samp/pipelined_alu/hw5_unit_test_spec.rb +0 -68
data/lib/ruby-vpi.rb CHANGED
@@ -1,149 +1,28 @@
1
- # General Ruby-VPI project information and testbench bootstrapping.
1
+ # General project information.
2
2
  #--
3
3
  # Copyright 2006-2007 Suraj N. Kurapati
4
4
  # See the file named LICENSE for details.
5
5
 
6
- module RubyVpi
7
- # Initializes the bench by setting up code coverage, the interactive debugger,
8
- # and so on:
9
- #
10
- # 1. loads the design.rb file
11
- # 2. loads the proto.rb file if prototyping is enabled
12
- # 3. loads the spec.rb file
13
- #
14
- # aDesignId:: The name of the Ruby object which gives access to the design
15
- # under test.
16
- #
17
- # aSpecFormat:: The name of the format being used by the specification.
18
- #
19
- # aSimulationCycle:: A block that simulates the design under test by, for
20
- # example, toggling the clock signal.
21
- #
22
- def RubyVpi.init_bench aDesignId, aSpecFormat, &aSimulationCycle
23
- raise ArgumentError, "block must be given" unless block_given?
24
-
25
- if caller.find {|s| s =~ /^(.*?)_bench.rb:/}
26
- testName = $1
27
- else
28
- raise 'Unable to determine name of test.'
29
- end
30
-
31
- useDebugger = !(ENV['DEBUG'] || '').empty?
32
- useCoverage = !(ENV['COVERAGE'] || '').empty?
33
- usePrototype = !(ENV['PROTOTYPE'] || '').empty?
34
-
35
- # set up code coverage analysis
36
- # XXX: this is loaded *before* RCov to prevent coverage statistics about
37
- # it
38
- require 'ruby-vpi/vpi'
39
-
40
- if useCoverage
41
- require 'ruby-vpi/rcov'
42
-
43
- RubyVpi.with_coverage_analysis do |a|
44
- a.dump_coverage_info [
45
- Rcov::TextReport.new,
46
- Rcov::HTMLCoverage.new(:destdir => "#{testName}_coverage")
47
- ]
48
- end
49
-
50
- Vpi::vpi_printf "#{Config::PROJECT_NAME}: coverage analysis is enabled for test #{testName.inspect}\n"
51
- end
52
-
53
- # set up the specification library
54
- case aSpecFormat
55
- when :xUnit
56
- require 'test/unit'
57
-
58
- when :rSpec
59
- ARGV.concat %w[-f s]
60
- require 'spec'
61
-
62
- when :tSpec
63
- ARGV << '-rs'
64
- require 'test/spec'
65
- end
66
-
67
- # set up the interactive debugger
68
- if useDebugger
69
- require 'ruby-debug'
70
-
71
- Debugger.start
72
- Debugger.post_mortem
73
-
74
- Vpi::vpi_printf "#{Config::PROJECT_NAME}: debugger is enabled for test #{testName.inspect}\n"
75
- end
76
-
77
- # suppress undefined method errors when debugger is not enabled
78
- unless Kernel.respond_to? :debugger
79
- Kernel.class_eval do
80
- define_method :debugger do
81
- # this is a dummy method!
82
- end
83
- end
84
- end
85
-
86
- # set up the VPI utility layer
87
- Object.class_eval do
88
- include Vpi
89
- end
90
-
91
- Vpi.module_eval do
92
- define_method :simulate, &aSimulationCycle
93
- end
94
-
95
- # load the design under test
96
- unless design = vpi_handle_by_name("#{testName}_bench", nil)
97
- raise "Verilog bench for test #{testName.inspect} is inaccessible."
98
- end
99
-
100
- Kernel.const_set(aDesignId, design)
101
- require "#{testName}_design.rb"
102
-
103
- # load the design's prototype
104
- if usePrototype
105
- require "#{testName}_proto.rb"
106
-
107
- Vpi.module_eval do
108
- define_method :advance_time do |*args|
109
- Integer(args.first || 1).times { design.simulate! }
110
- end
111
-
112
- define_method :vpi_register_cb do
113
- warn "vpi_register_cb: callbacks are ignored when prototype is enabled"
114
- end
115
- end
116
-
117
- Vpi::vpi_printf "#{Config::PROJECT_NAME}: prototype is enabled for test #{testName.inspect}\n"
118
-
119
- else
120
- # XXX: this completes the handshake, by calling relay_verilog, with
121
- # pthread_mutex_lock() in relay_main() in the C extension
122
- advance_time
123
- end
124
-
125
- # load the design's specification
126
- require "#{testName}_spec.rb"
127
- end
128
-
129
- # Provides information about this project's configuration.
130
- module Config
131
- PROJECT_ID = 'ruby-vpi'
132
- PROJECT_NAME = 'Ruby-VPI'
133
- PROJECT_URL = "http://#{PROJECT_ID}.rubyforge.org"
134
- WEBSITE_URL = PROJECT_URL + "/doc"
135
- PROJECT_SUMMARY = "Ruby interface to IEEE 1364-2005 Verilog VPI"
136
- PROJECT_DETAIL = "#{PROJECT_NAME} is a #{PROJECT_SUMMARY} and a platform for unit testing, rapid prototyping, and systems integration of Verilog modules through Ruby. It lets you create complex Verilog test benches easily and wholly in Ruby."
137
-
138
- Simulator = Struct.new(:name, :compiler_args, :linker_args)
139
-
140
- # List of supported Verilog simulators.
141
- SIMULATORS = {
142
- :cver => Simulator.new('GPL Cver', '-DPRAGMATIC_CVER', ''),
143
- :ivl => Simulator.new('Icarus Verilog', '-DICARUS_VERILOG', ''),
144
- :vcs => Simulator.new('Synopsys VCS', '-DSYNOPSYS_VCS', ''),
145
- :vsim => Simulator.new('Mentor Modelsim', '-DMENTOR_MODELSIM', ''),
146
- :ncsim => Simulator.new('Cadence NC-Sim', '-DCADENCE_NCSIM', ''),
147
- }
6
+ module RubyVPI
7
+ PROJECT_ID = 'ruby-vpi'
8
+ PROJECT_NAME = 'Ruby-VPI'
9
+ PROJECT_URL = "http://#{PROJECT_ID}.rubyforge.org"
10
+ WEBSITE_URL = PROJECT_URL + "/doc"
11
+ PROJECT_SUMMARY = "Ruby interface to IEEE 1364-2005 Verilog VPI"
12
+ PROJECT_DETAIL = "#{PROJECT_NAME} is a #{PROJECT_SUMMARY} and a platform for unit testing, rapid prototyping, and systems integration of Verilog modules through Ruby. It lets you create complex Verilog test benches easily and wholly in Ruby."
13
+
14
+ Simulator = Struct.new(:name, :compiler_args, :linker_args)
15
+
16
+ # List of supported Verilog simulators.
17
+ SIMULATORS = {
18
+ :cver => Simulator.new('GPL Cver', '-DPRAGMATIC_CVER', ''),
19
+ :ivl => Simulator.new('Icarus Verilog', '-DICARUS_VERILOG', ''),
20
+ :vcs => Simulator.new('Synopsys VCS', '-DSYNOPSYS_VCS', ''),
21
+ :vsim => Simulator.new('Mentor Modelsim', '-DMENTOR_MODELSIM', ''),
22
+ :ncsim => Simulator.new('Cadence NC-Sim', '-DCADENCE_NCSIM', ''),
23
+ }
24
+
25
+ def RubyVPI.say fmt, *args #:nodoc:
26
+ Vpi.vpi_printf("#{PROJECT_NAME}: #{fmt}\n", *args)
148
27
  end
149
28
  end
data/ref/c/annotated.html CHANGED
@@ -27,7 +27,7 @@
27
27
  <tr><td class="indexkey"><a class="el" href="structt__vpi__vecval.html">t_vpi_vecval</a></td><td class="indexvalue"></td></tr>
28
28
  <tr><td class="indexkey"><a class="el" href="structt__vpi__vlog__info.html">t_vpi_vlog_info</a></td><td class="indexvalue"></td></tr>
29
29
  </table>
30
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
30
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
31
31
  <a href="http://www.doxygen.org/index.html">
32
32
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
33
33
  </body>
data/ref/c/common_8h.html CHANGED
@@ -140,7 +140,7 @@ Pass aroung this value instead of zero and non-zero integers. <dl compact><dt><b
140
140
 
141
141
  </div>
142
142
  </div><p>
143
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
143
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:50 2007 for Ruby-VPI by&nbsp;
144
144
  <a href="http://www.doxygen.org/index.html">
145
145
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
146
146
  </body>
data/ref/c/files.html CHANGED
@@ -27,7 +27,7 @@
27
27
  <tr><td class="indexkey"><a class="el" href="vlog_8h.html">vlog.h</a></td><td class="indexvalue">Interface between C and Verilog code </td></tr>
28
28
  <tr><td class="indexkey"><a class="el" href="vpi__user_8h.html">vpi_user.h</a></td><td class="indexvalue"></td></tr>
29
29
  </table>
30
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
30
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
31
31
  <a href="http://www.doxygen.org/index.html">
32
32
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
33
33
  </body>
data/ref/c/functions.html CHANGED
@@ -175,7 +175,7 @@ Here is a list of all struct and union fields with links to the structures/union
175
175
  <li>version
176
176
  : <a class="el" href="structt__vpi__vlog__info.html#3ff076199159904aa9869e0fb1476bad">t_vpi_vlog_info</a>
177
177
  </ul>
178
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
178
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
179
179
  <a href="http://www.doxygen.org/index.html">
180
180
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
181
181
  </body>
@@ -175,7 +175,7 @@
175
175
  <li>version
176
176
  : <a class="el" href="structt__vpi__vlog__info.html#3ff076199159904aa9869e0fb1476bad">t_vpi_vlog_info</a>
177
177
  </ul>
178
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
178
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
179
179
  <a href="http://www.doxygen.org/index.html">
180
180
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
181
181
  </body>
data/ref/c/globals.html CHANGED
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>bool
51
51
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b1">common.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -112,7 +112,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
112
112
  <li>common_printf
113
113
  : <a class="el" href="common_8h.html#a2ee406785247606fd3daddbed14cbaf">common.h</a>
114
114
  </ul>
115
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
115
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
116
116
  <a href="http://www.doxygen.org/index.html">
117
117
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
118
118
  </body>
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>EETERN
51
51
  : <a class="el" href="vpi__user_8h.html#17207c0c9000e05cf0c7bcde1c10293d">vpi_user.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>false
51
51
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b1e9de385ef6fe9bf3360d1038396b884c">common.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -57,7 +57,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
57
57
  : <a class="el" href="main_8c.html#5744de41392bc2f3a3285c7203a77229">main.c</a>
58
58
  , <a class="el" href="main_8h.html#5744de41392bc2f3a3285c7203a77229">main.h</a>
59
59
  </ul>
60
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
60
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
61
61
  <a href="http://www.doxygen.org/index.html">
62
62
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
63
63
  </body>
@@ -82,7 +82,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
82
82
  <li>PROTO_PARAMS()
83
83
  : <a class="el" href="vpi__user_8h.html#7db22f87fed5d61de6ce0279828a06a8">vpi_user.h</a>
84
84
  </ul>
85
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
85
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
86
86
  <a href="http://www.doxygen.org/index.html">
87
87
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
88
88
  </body>
@@ -65,7 +65,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
65
65
  : <a class="el" href="relay_8h.html#c1a02ebdb76a321bac689ac9b30f0422">relay.h</a>
66
66
  , <a class="el" href="relay_8c.html#c1a02ebdb76a321bac689ac9b30f0422">relay.c</a>
67
67
  </ul>
68
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
68
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
69
69
  <a href="http://www.doxygen.org/index.html">
70
70
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
71
71
  </body>
@@ -66,7 +66,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
66
66
  <li>s_vpi_vlog_info
67
67
  : <a class="el" href="vpi__user_8h.html#4d989aa964a0d69d3e1da525725573c8">vpi_user.h</a>
68
68
  </ul>
69
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
69
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
70
70
  <a href="http://www.doxygen.org/index.html">
71
71
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
72
72
  </body>
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>true
51
51
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b108f175a5505a10b9ed657defeb050e4b">common.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -896,7 +896,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
896
896
  <li>vpiZ
897
897
  : <a class="el" href="vpi__user_8h.html#926969d440ea7547404cbac67367fe5f">vpi_user.h</a>
898
898
  </ul>
899
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
899
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
900
900
  <a href="http://www.doxygen.org/index.html">
901
901
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
902
902
  </body>
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>XXTERN
51
51
  : <a class="el" href="vpi__user_8h.html#d3973263496fbe347baaf726dac775c5">vpi_user.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -106,7 +106,7 @@
106
106
  <li>common_printf
107
107
  : <a class="el" href="common_8h.html#a2ee406785247606fd3daddbed14cbaf">common.h</a>
108
108
  </ul>
109
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
109
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
110
110
  <a href="http://www.doxygen.org/index.html">
111
111
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
112
112
  </body>
@@ -44,7 +44,7 @@
44
44
  <li>EETERN
45
45
  : <a class="el" href="vpi__user_8h.html#17207c0c9000e05cf0c7bcde1c10293d">vpi_user.h</a>
46
46
  </ul>
47
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
47
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
48
48
  <a href="http://www.doxygen.org/index.html">
49
49
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
50
50
  </body>
@@ -46,7 +46,7 @@
46
46
  <li>PROTO_PARAMS
47
47
  : <a class="el" href="vpi__user_8h.html#b841b102c042624bb490d262509ef332">vpi_user.h</a>
48
48
  </ul>
49
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
49
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
50
50
  <a href="http://www.doxygen.org/index.html">
51
51
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
52
52
  </body>
@@ -876,7 +876,7 @@
876
876
  <li>vpiZ
877
877
  : <a class="el" href="vpi__user_8h.html#926969d440ea7547404cbac67367fe5f">vpi_user.h</a>
878
878
  </ul>
879
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
879
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
880
880
  <a href="http://www.doxygen.org/index.html">
881
881
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
882
882
  </body>
@@ -44,7 +44,7 @@
44
44
  <li>XXTERN
45
45
  : <a class="el" href="vpi__user_8h.html#d3973263496fbe347baaf726dac775c5">vpi_user.h</a>
46
46
  </ul>
47
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
47
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
48
48
  <a href="http://www.doxygen.org/index.html">
49
49
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
50
50
  </body>
@@ -33,7 +33,7 @@
33
33
  <li>bool
34
34
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b1">common.h</a>
35
35
  </ul>
36
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
36
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
37
37
  <a href="http://www.doxygen.org/index.html">
38
38
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
39
39
  </body>
@@ -35,7 +35,7 @@
35
35
  <li>true
36
36
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b108f175a5505a10b9ed657defeb050e4b">common.h</a>
37
37
  </ul>
38
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
38
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
39
39
  <a href="http://www.doxygen.org/index.html">
40
40
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
41
41
  </body>
@@ -77,7 +77,7 @@
77
77
  <li>vlog_startup()
78
78
  : <a class="el" href="vlog_8c.html#dc9eaadf567421f239b8b647a3ea0eb2">vlog.c</a>
79
79
  </ul>
80
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
80
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
81
81
  <a href="http://www.doxygen.org/index.html">
82
82
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
83
83
  </body>
@@ -81,7 +81,7 @@
81
81
  <li>vpiHandle
82
82
  : <a class="el" href="vpi__user_8h.html#7f57325d105c33e9bbac40b5ddd04d05">vpi_user.h</a>
83
83
  </ul>
84
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
84
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
85
85
  <a href="http://www.doxygen.org/index.html">
86
86
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
87
87
  </body>
@@ -42,7 +42,7 @@
42
42
  : <a class="el" href="vpi__user_8h.html#c633eb05d7e314a57629aa32ca3a9076">vpi_user.h</a>
43
43
  , <a class="el" href="vlog_8c.html#c1228ec9528d9c9be4de1c8e42cd3835">vlog.c</a>
44
44
  </ul>
45
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
45
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
46
46
  <a href="http://www.doxygen.org/index.html">
47
47
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
48
48
  </body>
data/ref/c/index.html CHANGED
@@ -13,7 +13,7 @@
13
13
  </ul></div>
14
14
  <h1>Ruby-VPI Documentation</h1>
15
15
  <p>
16
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
16
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:50 2007 for Ruby-VPI by&nbsp;
17
17
  <a href="http://www.doxygen.org/index.html">
18
18
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
19
19
  </body>
data/ref/c/main_8c.html CHANGED
@@ -100,7 +100,7 @@ Transfers control from Ruby to Verilog.
100
100
 
101
101
  </div>
102
102
  </div><p>
103
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
103
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:50 2007 for Ruby-VPI by&nbsp;
104
104
  <a href="http://www.doxygen.org/index.html">
105
105
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
106
106
  </body>
data/ref/c/main_8h.html CHANGED
@@ -102,7 +102,7 @@ Transfers control from Ruby to Verilog.
102
102
 
103
103
  </div>
104
104
  </div><p>
105
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
105
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:50 2007 for Ruby-VPI by&nbsp;
106
106
  <a href="http://www.doxygen.org/index.html">
107
107
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
108
108
  </body>
data/ref/c/relay_8c.html CHANGED
@@ -171,7 +171,7 @@ Transfers control to Verilog.
171
171
 
172
172
  </div>
173
173
  </div><p>
174
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
174
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:50 2007 for Ruby-VPI by&nbsp;
175
175
  <a href="http://www.doxygen.org/index.html">
176
176
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
177
177
  </body>
data/ref/c/relay_8h.html CHANGED
@@ -99,7 +99,7 @@ Transfers control to Verilog.
99
99
 
100
100
  </div>
101
101
  </div><p>
102
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
102
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:50 2007 for Ruby-VPI by&nbsp;
103
103
  <a href="http://www.doxygen.org/index.html">
104
104
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
105
105
  </body>
@@ -144,7 +144,7 @@
144
144
  </div><p>
145
145
  <hr>The documentation for this struct was generated from the following file:<ul>
146
146
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
147
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
147
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
148
148
  <a href="http://www.doxygen.org/index.html">
149
149
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
150
150
  </body>
@@ -127,7 +127,7 @@
127
127
  </div><p>
128
128
  <hr>The documentation for this struct was generated from the following file:<ul>
129
129
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
130
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
130
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
131
131
  <a href="http://www.doxygen.org/index.html">
132
132
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
133
133
  </body>
@@ -144,7 +144,7 @@
144
144
  </div><p>
145
145
  <hr>The documentation for this struct was generated from the following file:<ul>
146
146
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
147
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
147
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
148
148
  <a href="http://www.doxygen.org/index.html">
149
149
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
150
150
  </body>
@@ -76,7 +76,7 @@
76
76
  </div><p>
77
77
  <hr>The documentation for this struct was generated from the following file:<ul>
78
78
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
79
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
79
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
80
80
  <a href="http://www.doxygen.org/index.html">
81
81
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
82
82
  </body>
@@ -144,7 +144,7 @@
144
144
  </div><p>
145
145
  <hr>The documentation for this struct was generated from the following file:<ul>
146
146
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
147
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
147
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
148
148
  <a href="http://www.doxygen.org/index.html">
149
149
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
150
150
  </body>
@@ -93,7 +93,7 @@
93
93
  </div><p>
94
94
  <hr>The documentation for this struct was generated from the following file:<ul>
95
95
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
96
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
96
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
97
97
  <a href="http://www.doxygen.org/index.html">
98
98
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
99
99
  </body>
@@ -197,7 +197,7 @@
197
197
  </div><p>
198
198
  <hr>The documentation for this struct was generated from the following file:<ul>
199
199
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
200
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
200
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
201
201
  <a href="http://www.doxygen.org/index.html">
202
202
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
203
203
  </body>
@@ -59,7 +59,7 @@
59
59
  </div><p>
60
60
  <hr>The documentation for this struct was generated from the following file:<ul>
61
61
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
62
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
62
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
63
63
  <a href="http://www.doxygen.org/index.html">
64
64
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
65
65
  </body>
@@ -93,7 +93,7 @@
93
93
  </div><p>
94
94
  <hr>The documentation for this struct was generated from the following file:<ul>
95
95
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
96
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
96
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:51 2007 for Ruby-VPI by&nbsp;
97
97
  <a href="http://www.doxygen.org/index.html">
98
98
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
99
99
  </body>
@@ -176,7 +176,7 @@ A proxy for all Verilog headers of interest to us.
176
176
 
177
177
  </div>
178
178
  </div><p>
179
- <hr size="1"><address style="align: right;"><small>Generated on Sun May 27 14:47:36 2007 for Ruby-VPI by&nbsp;
179
+ <hr size="1"><address style="align: right;"><small>Generated on Sun Jul 22 17:47:50 2007 for Ruby-VPI by&nbsp;
180
180
  <a href="http://www.doxygen.org/index.html">
181
181
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
182
182
  </body>