vertigo_vhdl 0.8.5 → 0.8.10

Sign up to get free protection for your applications and to get access to all the features.
Files changed (88) hide show
  1. checksums.yaml +4 -4
  2. data/lib/vertigo/parser.rb +28 -5
  3. data/lib/vertigo/tb_generator.rb +20 -7
  4. data/lib/vertigo/version.rb +1 -1
  5. data/tests/parser_tests/test_adder_rca_vhdl93.vhd +37 -0
  6. metadata +4 -85
  7. data/tests/ghdl_tests/test_fsm.vhd +0 -162
  8. data/tests/parser_tests/else.vhd +0 -64
  9. data/tests/parser_tests/pingpong.vhd +0 -34
  10. data/tests/parser_tests/test_accelerator_pp.vhd +0 -144
  11. data/tests/parser_tests/test_aggregate_pp.vhd +0 -15
  12. data/tests/parser_tests/test_archi_1_pp.vhd +0 -41
  13. data/tests/parser_tests/test_array_array_00_pp.vhd +0 -25
  14. data/tests/parser_tests/test_array_urange_pp.vhd +0 -25
  15. data/tests/parser_tests/test_chu-1_pp.vhd +0 -104
  16. data/tests/parser_tests/test_concat_pp.vhd +0 -14
  17. data/tests/parser_tests/test_counter_pp.vhd +0 -35
  18. data/tests/parser_tests/test_de2_pp.vhd +0 -274
  19. data/tests/parser_tests/test_encode_pp.vhd +0 -2549
  20. data/tests/parser_tests/test_fsm_pp.vhd +0 -125
  21. data/tests/parser_tests/test_fsm_synth_pp.vhd +0 -197
  22. data/tests/parser_tests/test_function-01_pp.vhd +0 -18
  23. data/tests/parser_tests/test_lfsr_pp.vhd +0 -44
  24. data/tests/parser_tests/test_microwatt_cache_ram_pp.vhd +0 -68
  25. data/tests/parser_tests/test_microwatt_common_pp.vhd +0 -336
  26. data/tests/parser_tests/test_microwatt_control_pp.vhd +0 -187
  27. data/tests/parser_tests/test_microwatt_core_debug_pp.vhd +0 -104
  28. data/tests/parser_tests/test_microwatt_core_pp.vhd +0 -231
  29. data/tests/parser_tests/test_microwatt_core_tb_pp.vhd +0 -43
  30. data/tests/parser_tests/test_microwatt_countzero_pp.vhd +0 -120
  31. data/tests/parser_tests/test_microwatt_countzero_tb_pp.vhd +0 -70
  32. data/tests/parser_tests/test_microwatt_cr_file_pp.vhd +0 -74
  33. data/tests/parser_tests/test_microwatt_cr_hazard_pp.vhd +0 -51
  34. data/tests/parser_tests/test_microwatt_crhelpers_pp.vhd +0 -48
  35. data/tests/parser_tests/test_microwatt_dcache_pp.vhd +0 -481
  36. data/tests/parser_tests/test_microwatt_dcache_tb_pp.vhd +0 -98
  37. data/tests/parser_tests/test_microwatt_decode1_pp.vhd +0 -138
  38. data/tests/parser_tests/test_microwatt_decode2_pp.vhd +0 -300
  39. data/tests/parser_tests/test_microwatt_decode_types_pp.vhd +0 -67
  40. data/tests/parser_tests/test_microwatt_divider_pp.vhd +0 -132
  41. data/tests/parser_tests/test_microwatt_divider_tb_pp.vhd +0 -95
  42. data/tests/parser_tests/test_microwatt_dmi_dtm_dummy_pp.vhd +0 -29
  43. data/tests/parser_tests/test_microwatt_dmi_dtm_tb_pp.vhd +0 -197
  44. data/tests/parser_tests/test_microwatt_dmi_dtm_xilinx_pp.vhd +0 -139
  45. data/tests/parser_tests/test_microwatt_execute1_pp.vhd +0 -689
  46. data/tests/parser_tests/test_microwatt_fetch1_pp.vhd +0 -88
  47. data/tests/parser_tests/test_microwatt_fetch2_pp.vhd +0 -79
  48. data/tests/parser_tests/test_microwatt_glibc_random_helpers_pp.vhd +0 -25
  49. data/tests/parser_tests/test_microwatt_glibc_random_pp.vhd +0 -41
  50. data/tests/parser_tests/test_microwatt_gpr_hazard_pp.vhd +0 -68
  51. data/tests/parser_tests/test_microwatt_helpers_pp.vhd +0 -153
  52. data/tests/parser_tests/test_microwatt_icache_pp.vhd +0 -337
  53. data/tests/parser_tests/test_microwatt_icache_tb_pp.vhd +0 -104
  54. data/tests/parser_tests/test_microwatt_insn_helpers_pp.vhd +0 -208
  55. data/tests/parser_tests/test_microwatt_loadstore1_pp.vhd +0 -222
  56. data/tests/parser_tests/test_microwatt_logical_pp.vhd +0 -87
  57. data/tests/parser_tests/test_microwatt_multiply_pp.vhd +0 -84
  58. data/tests/parser_tests/test_microwatt_multiply_tb_pp.vhd +0 -75
  59. data/tests/parser_tests/test_microwatt_plru_pp.vhd +0 -46
  60. data/tests/parser_tests/test_microwatt_plru_tb_pp.vhd +0 -93
  61. data/tests/parser_tests/test_microwatt_ppc_fx_insns_pp.vhd +0 -665
  62. data/tests/parser_tests/test_microwatt_register_file_pp.vhd +0 -86
  63. data/tests/parser_tests/test_microwatt_rotator_pp.vhd +0 -149
  64. data/tests/parser_tests/test_microwatt_rotator_tb_pp.vhd +0 -134
  65. data/tests/parser_tests/test_microwatt_sim_bram_helpers_pp.vhd +0 -52
  66. data/tests/parser_tests/test_microwatt_sim_bram_pp.vhd +0 -53
  67. data/tests/parser_tests/test_microwatt_sim_console_pp.vhd +0 -43
  68. data/tests/parser_tests/test_microwatt_sim_jtag_pp.vhd +0 -64
  69. data/tests/parser_tests/test_microwatt_sim_jtag_socket_pp.vhd +0 -36
  70. data/tests/parser_tests/test_microwatt_sim_uart_pp.vhd +0 -90
  71. data/tests/parser_tests/test_microwatt_soc_pp.vhd +0 -195
  72. data/tests/parser_tests/test_microwatt_utils_pp.vhd +0 -39
  73. data/tests/parser_tests/test_microwatt_wishbone_arbiter_pp.vhd +0 -54
  74. data/tests/parser_tests/test_microwatt_wishbone_bram_tb_pp.vhd +0 -157
  75. data/tests/parser_tests/test_microwatt_wishbone_bram_wrapper_pp.vhd +0 -62
  76. data/tests/parser_tests/test_microwatt_wishbone_debug_master_pp.vhd +0 -124
  77. data/tests/parser_tests/test_microwatt_wishbone_types_pp.vhd +0 -38
  78. data/tests/parser_tests/test_microwatt_writeback_pp.vhd +0 -87
  79. data/tests/parser_tests/test_package-1_pp.vhd +0 -53
  80. data/tests/parser_tests/test_precedence_pp.vhd +0 -16
  81. data/tests/parser_tests/test_selected_sig_pp.vhd +0 -10
  82. data/tests/parser_tests/test_slice_pp.vhd +0 -16
  83. data/tests/parser_tests/test_tb-00_pp.vhd +0 -71
  84. data/tests/parser_tests/test_type_decl_02_pp.vhd +0 -11
  85. data/tests/parser_tests/test_use_pp.vhd +0 -10
  86. data/tests/parser_tests/test_while_1_pp.vhd +0 -26
  87. data/tests/parser_tests/test_with-00_pp.vhd +0 -12
  88. data/tests/tb_gen_tests/test_accelerator.vhd +0 -160
@@ -1,14 +0,0 @@
1
- -- generated by Vertigo VHDL tool
2
-
3
- entity test is
4
- end entity test;
5
-
6
- architecture bhv of test is
7
- begin
8
-
9
-
10
- process
11
- begin
12
- report "hello" & "world" severity error;
13
- end process;
14
- end bhv;
@@ -1,35 +0,0 @@
1
- -- generated by Vertigo VHDL tool
2
- library ieee;
3
- use ieee.std_logic_1164.all;
4
- use ieee.numeric_std.all;
5
-
6
- entity counter is
7
- generic(
8
- n : natural8 := 8);
9
- port(
10
- reset_n : in std_logic;
11
- clk : in std_logic;
12
- inc : in std_logic;
13
- dec : in std_logic;
14
- value : out signed(n - 1 downto 0));
15
- end entity counter;
16
-
17
- architecture rtl of counter is
18
- signal value_s : signed(n - 1 downto 0);
19
- begin
20
-
21
-
22
- process(reset_n,clk)
23
- begin
24
- if reset_n = '0' then
25
- value_s <= to_signed(0,n);
26
- elsif rising_edge(clk) then
27
- if inc = '1' then
28
- value_s <= value_s + 1;
29
- elsif dec = '1' then
30
- value_s <= value_s - 1;
31
- end if;
32
- end if;
33
- end process;
34
- value <= value_s;
35
- end rtl;
@@ -1,274 +0,0 @@
1
- -- generated by Vertigo VHDL tool
2
- library ieee;
3
- use ieee.std_logic_1164.all;
4
- use ieee.numeric_std.all;
5
-
6
- entity de2 is
7
- port(
8
- clock_27 : in std_logic;
9
- clock_50 : in std_logic;
10
- ext_clock : in std_logic;
11
- key : in std_logic_vector(3 downto 0);
12
- sw : in std_logic_vector(17 downto 0);
13
- hex0 : out std_logic_vector(6 downto 0);
14
- hex1 : out std_logic_vector(6 downto 0);
15
- hex2 : out std_logic_vector(6 downto 0);
16
- hex3 : out std_logic_vector(6 downto 0);
17
- hex4 : out std_logic_vector(6 downto 0);
18
- hex5 : out std_logic_vector(6 downto 0);
19
- hex6 : out std_logic_vector(6 downto 0);
20
- hex7 : out std_logic_vector(6 downto 0);
21
- ledg : out std_logic_vector(7 downto 0);
22
- ledr : out std_logic_vector(17 downto 0);
23
- uart_txd : out std_logic;
24
- uart_rxd : in std_logic;
25
- irda_rxd : in std_logic;
26
- dram_dq : inout std_logic_vector(15 downto 0);
27
- dram_addr : out std_logic_vector(11 downto 0);
28
- dram_ldqm : out std_logic;
29
- dram_udqm : out std_logic;
30
- dram_we_n : out std_logic;
31
- dram_cas_n : out std_logic;
32
- dram_ras_n : out std_logic;
33
- dram_cs_n : out std_logic;
34
- dram_ba_0 : out std_logic;
35
- dram_ba_1 : out std_logic;
36
- dram_clk : out std_logic;
37
- dram_cke : out std_logic;
38
- fl_dq : inout std_logic_vector(7 downto 0);
39
- fl_addr : out std_logic_vector(21 downto 0);
40
- fl_we_n : out std_logic;
41
- fl_rst_n : out std_logic;
42
- fl_oe_n : out std_logic;
43
- fl_ce_n : out std_logic;
44
- sram_dq : inout std_logic_vector(15 downto 0);
45
- sram_addr : out std_logic_vector(17 downto 0);
46
- sram_ub_n : out std_logic;
47
- sram_lb_n : out std_logic;
48
- sram_we_n : out std_logic;
49
- sram_ce_n : out std_logic;
50
- sram_oe_n : out std_logic;
51
- otg_data : inout std_logic_vector(15 downto 0);
52
- otg_addr : out std_logic_vector(1 downto 0);
53
- otg_cs_n : out std_logic;
54
- otg_rd_n : out std_logic;
55
- otg_wr_n : out std_logic;
56
- otg_rst_n : out std_logic;
57
- otg_fspeed : out std_logic;
58
- otg_lspeed : out std_logic;
59
- otg_int0 : in std_logic;
60
- otg_int1 : in std_logic;
61
- otg_dreq0 : in std_logic;
62
- otg_dreq1 : in std_logic;
63
- otg_dack0_n : out std_logic;
64
- otg_dack1_n : out std_logic;
65
- lcd_on : out std_logic;
66
- lcd_blon : out std_logic;
67
- lcd_rw : out std_logic;
68
- lcd_en : out std_logic;
69
- lcd_rs : out std_logic;
70
- lcd_data : inout std_logic_vector(7 downto 0);
71
- sd_dat : inout std_logic;
72
- sd_dat3 : inout std_logic;
73
- sd_cmd : inout std_logic;
74
- sd_clk : out std_logic;
75
- tdi : in std_logic;
76
- tck : in std_logic;
77
- tcs : in std_logic;
78
- tdo : out std_logic;
79
- i2c_sdat : inout std_logic;
80
- i2c_sclk : out std_logic;
81
- ps2_dat : in std_logic;
82
- ps2_clk : in std_logic;
83
- vga_clk : out std_logic;
84
- vga_hs : out std_logic;
85
- vga_vs : out std_logic;
86
- vga_blank : out std_logic;
87
- vga_sync : out std_logic;
88
- vga_r : out std_logic_vector(9 downto 0);
89
- vga_g : out std_logic_vector(9 downto 0);
90
- vga_b : out std_logic_vector(9 downto 0);
91
- enet_data : inout std_logic_vector(15 downto 0);
92
- enet_cmd : out std_logic;
93
- enet_cs_n : out std_logic;
94
- enet_wr_n : out std_logic;
95
- enet_rd_n : out std_logic;
96
- enet_rst_n : out std_logic;
97
- enet_clk : out std_logic;
98
- enet_int : in std_logic;
99
- aud_adclrck : inout std_logic;
100
- aud_adcdat : in std_logic;
101
- aud_daclrck : inout std_logic;
102
- aud_dacdat : out std_logic;
103
- aud_bclk : inout std_logic;
104
- aud_xck : out std_logic;
105
- td_data : in std_logic_vector(7 downto 0);
106
- td_hs : in std_logic;
107
- td_vs : in std_logic;
108
- td_reset : out std_logic;
109
- gpio_0 : inout std_logic_vector(35 downto 0);
110
- gpio_1 : inout std_logic_vector(35 downto 0));
111
- end entity de2;
112
-
113
- architecture rtl of de2 is
114
- signal reset_n : std_logic;
115
- signal start : std_logic;
116
- signal req_a : std_logic;
117
- signal req_b : std_logic;
118
- signal a : std_logic_vector(3 downto 0);
119
- signal b : std_logic_vector(3 downto 0);
120
- signal ready : std_logic;
121
- signal res : std_logic_vector(7 downto 0);
122
- signal state_o : std_logic_vector(3 downto 0);
123
- signal state_num : std_logic_vector(3 downto 0);
124
-
125
- function binto7seg(bin : std_logic_vector(3 downto 0)) return std_logic_vector is
126
- variable res : std_logic_vector(6 downto 0);
127
- begin
128
- res := "0000000";
129
- case bin is
130
- when "0000" =>
131
- res := "0111111";
132
- when "0001" =>
133
- res := "0000110";
134
- when "0010" =>
135
- res := "1011011";
136
- when "0011" =>
137
- res := "1001111";
138
- when "0100" =>
139
- res := "1100110";
140
- when "0101" =>
141
- res := "1101101";
142
- when "0110" =>
143
- res := "1111101";
144
- when "0111" =>
145
- res := "0000111";
146
- when "1000" =>
147
- res := "1111111";
148
- when "1001" =>
149
- res := "1101111";
150
- when "1010" =>
151
- res := "1110111";
152
- when "1011" =>
153
- res := "1111100";
154
- when "1100" =>
155
- res := "0111001";
156
- when "1101" =>
157
- res := "1011110";
158
- when "1110" =>
159
- res := "1111001";
160
- when "1111" =>
161
- res := "1110001";
162
- when others =>
163
- null;
164
- end case;
165
- return res;
166
- end function binto7seg;
167
-
168
- function one_hot_to_dec(bin : std_logic_vector(3 downto 0)) return std_logic_vector is
169
- variable res : std_logic_vector(3 downto 0);
170
- begin
171
- res := "0000";
172
- return res;
173
- end function one_hot_to_dec;
174
- signal sta : std_logic_vector(3 downto 0);
175
- constant pressed : std_logic := '0';
176
- begin
177
-
178
- reset_n <= '0' when key(0) = pressed else '1';
179
- start <= '1' when key(1) = pressed else '0';
180
- req_a <= '1' when key(2) = pressed else '0';
181
- req_b <= '1' when key(3) = pressed else '0';
182
- a <= sw(3 downto 0);
183
- b <= sw(3 downto 0);
184
- ledg(0) <= ready;
185
- hex0 <= binto7seg(res(3 downto 0)) when ready = '1' else binto7seg(sw(3 downto 0));
186
- hex1 <= binto7seg(res(7 downto 4)) when ready = '1' else "0001000";
187
- hex5 <= binto7seg("0101");
188
- hex4 <= binto7seg(state_num);
189
- hex2 <= "0001000";
190
- hex3 <= "0001000";
191
- hex6 <= "0001000";
192
- hex7 <= "0001000" when start = '1' else "0001000";
193
- state_num <= one_hot_to_dec(state_o);
194
- design : entity work.multseq(logic)
195
- port map(
196
- clk => clock_27,
197
- reset_n => reset_n,
198
- start => start,
199
- req_a => req_a,
200
- req_b => req_b,
201
- a => a,
202
- b => b,
203
- ready => ready,
204
- res => res,
205
- state_o => state_o);
206
-
207
- ledr <= (others => '0');
208
- lcd_on <= '1';
209
- lcd_blon <= '1';
210
- lcd_rw <= '1';
211
- lcd_en <= '0';
212
- lcd_rs <= '0';
213
- vga_clk <= '0';
214
- vga_hs <= '0';
215
- vga_vs <= '0';
216
- vga_blank <= '0';
217
- vga_sync <= '0';
218
- vga_r <= (others => '0');
219
- vga_g <= (others => '0');
220
- vga_b <= (others => '0');
221
- sd_dat3 <= '1';
222
- sd_cmd <= '1';
223
- sd_clk <= '1';
224
- sram_dq <= (others => 'z');
225
- sram_addr <= (others => '0');
226
- sram_ub_n <= '1';
227
- sram_lb_n <= '1';
228
- sram_ce_n <= '1';
229
- sram_we_n <= '1';
230
- sram_oe_n <= '1';
231
- uart_txd <= '0';
232
- dram_addr <= (others => '0');
233
- dram_ldqm <= '0';
234
- dram_udqm <= '0';
235
- dram_we_n <= '1';
236
- dram_cas_n <= '1';
237
- dram_ras_n <= '1';
238
- dram_cs_n <= '1';
239
- dram_ba_0 <= '0';
240
- dram_ba_1 <= '0';
241
- dram_clk <= '0';
242
- dram_cke <= '0';
243
- fl_addr <= (others => '0');
244
- fl_we_n <= '1';
245
- fl_rst_n <= '0';
246
- fl_oe_n <= '1';
247
- fl_ce_n <= '1';
248
- otg_addr <= (others => '0');
249
- otg_cs_n <= '1';
250
- otg_rd_n <= '1';
251
- otg_rd_n <= '1';
252
- otg_wr_n <= '1';
253
- otg_rst_n <= '1';
254
- otg_fspeed <= '1';
255
- otg_lspeed <= '1';
256
- otg_dack0_n <= '1';
257
- otg_dack1_n <= '1';
258
- tdo <= '0';
259
- enet_cmd <= '0';
260
- enet_cs_n <= '1';
261
- enet_wr_n <= '1';
262
- enet_rd_n <= '1';
263
- enet_rst_n <= '1';
264
- enet_clk <= '0';
265
- td_reset <= '1';
266
- dram_dq <= (others => 'z');
267
- fl_dq <= (others => 'z');
268
- otg_data <= (others => 'z');
269
- lcd_data <= (others => 'z');
270
- sd_dat <= 'z';
271
- enet_data <= (others => 'z');
272
- gpio_0 <= (others => 'z');
273
- gpio_1 <= (others => 'z');
274
- end rtl;
@@ -1,2549 +0,0 @@
1
- -- generated by Vertigo VHDL tool
2
- library ieee;
3
- use ieee.std_logic_1164.all;
4
- use ieee.numeric_std.all;
5
-
6
- entity encode is
7
- port(
8
- ap_clk : in std_logic;
9
- ap_rst : in std_logic;
10
- ap_start : in std_logic;
11
- ap_done : out std_logic;
12
- ap_idle : out std_logic;
13
- ap_ready : out std_logic;
14
- xin1 : in std_logic_vector(31 downto 0);
15
- xin2 : in std_logic_vector(31 downto 0);
16
- ap_return : out std_logic_vector(31 downto 0));
17
- end entity encode;
18
-
19
- architecture behav of encode is
20
- attribute core_generation_info : string;
21
- attribute core_generation_info of behav : architecture is "encode,hls_ip_2017_3,{hls_input_type=c,hls_input_float=0,hls_input_fixed=0,hls_input_part=xc7a100tcsg324-2,hls_input_clock=10.000000,hls_input_arch=others,hls_syn_clock=8.635000,hls_syn_lat=249,hls_syn_tpt=none,hls_syn_mem=6,hls_syn_dsp=63,hls_syn_ff=3105,hls_syn_lut=4624}";
22
- constant ap_const_logic_1 : std_logic := '1';
23
- constant ap_const_logic_0 : std_logic := '0';
24
- constant ap_st_fsm_state1 : std_logic_vector(41 downto 0) := "000000000000000000000000000000000000000001";
25
- constant ap_st_fsm_state2 : std_logic_vector(41 downto 0) := "000000000000000000000000000000000000000010";
26
- constant ap_st_fsm_state3 : std_logic_vector(41 downto 0) := "000000000000000000000000000000000000000100";
27
- constant ap_st_fsm_state4 : std_logic_vector(41 downto 0) := "000000000000000000000000000000000000001000";
28
- constant ap_st_fsm_state5 : std_logic_vector(41 downto 0) := "000000000000000000000000000000000000010000";
29
- constant ap_st_fsm_state6 : std_logic_vector(41 downto 0) := "000000000000000000000000000000000000100000";
30
- constant ap_st_fsm_state7 : std_logic_vector(41 downto 0) := "000000000000000000000000000000000001000000";
31
- constant ap_st_fsm_state8 : std_logic_vector(41 downto 0) := "000000000000000000000000000000000010000000";
32
- constant ap_st_fsm_state9 : std_logic_vector(41 downto 0) := "000000000000000000000000000000000100000000";
33
- constant ap_st_fsm_state10 : std_logic_vector(41 downto 0) := "000000000000000000000000000000001000000000";
34
- constant ap_st_fsm_state11 : std_logic_vector(41 downto 0) := "000000000000000000000000000000010000000000";
35
- constant ap_st_fsm_state12 : std_logic_vector(41 downto 0) := "000000000000000000000000000000100000000000";
36
- constant ap_st_fsm_state13 : std_logic_vector(41 downto 0) := "000000000000000000000000000001000000000000";
37
- constant ap_st_fsm_state14 : std_logic_vector(41 downto 0) := "000000000000000000000000000010000000000000";
38
- constant ap_st_fsm_state15 : std_logic_vector(41 downto 0) := "000000000000000000000000000100000000000000";
39
- constant ap_st_fsm_state16 : std_logic_vector(41 downto 0) := "000000000000000000000000001000000000000000";
40
- constant ap_st_fsm_state17 : std_logic_vector(41 downto 0) := "000000000000000000000000010000000000000000";
41
- constant ap_st_fsm_state18 : std_logic_vector(41 downto 0) := "000000000000000000000000100000000000000000";
42
- constant ap_st_fsm_state19 : std_logic_vector(41 downto 0) := "000000000000000000000001000000000000000000";
43
- constant ap_st_fsm_state20 : std_logic_vector(41 downto 0) := "000000000000000000000010000000000000000000";
44
- constant ap_st_fsm_state21 : std_logic_vector(41 downto 0) := "000000000000000000000100000000000000000000";
45
- constant ap_st_fsm_state22 : std_logic_vector(41 downto 0) := "000000000000000000001000000000000000000000";
46
- constant ap_st_fsm_state23 : std_logic_vector(41 downto 0) := "000000000000000000010000000000000000000000";
47
- constant ap_st_fsm_state24 : std_logic_vector(41 downto 0) := "000000000000000000100000000000000000000000";
48
- constant ap_st_fsm_state25 : std_logic_vector(41 downto 0) := "000000000000000001000000000000000000000000";
49
- constant ap_st_fsm_state26 : std_logic_vector(41 downto 0) := "000000000000000010000000000000000000000000";
50
- constant ap_st_fsm_state27 : std_logic_vector(41 downto 0) := "000000000000000100000000000000000000000000";
51
- constant ap_st_fsm_state28 : std_logic_vector(41 downto 0) := "000000000000001000000000000000000000000000";
52
- constant ap_st_fsm_state29 : std_logic_vector(41 downto 0) := "000000000000010000000000000000000000000000";
53
- constant ap_st_fsm_state30 : std_logic_vector(41 downto 0) := "000000000000100000000000000000000000000000";
54
- constant ap_st_fsm_state31 : std_logic_vector(41 downto 0) := "000000000001000000000000000000000000000000";
55
- constant ap_st_fsm_state32 : std_logic_vector(41 downto 0) := "000000000010000000000000000000000000000000";
56
- constant ap_st_fsm_state33 : std_logic_vector(41 downto 0) := "000000000100000000000000000000000000000000";
57
- constant ap_st_fsm_state34 : std_logic_vector(41 downto 0) := "000000001000000000000000000000000000000000";
58
- constant ap_st_fsm_state35 : std_logic_vector(41 downto 0) := "000000010000000000000000000000000000000000";
59
- constant ap_st_fsm_state36 : std_logic_vector(41 downto 0) := "000000100000000000000000000000000000000000";
60
- constant ap_st_fsm_state37 : std_logic_vector(41 downto 0) := "000001000000000000000000000000000000000000";
61
- constant ap_st_fsm_state38 : std_logic_vector(41 downto 0) := "000010000000000000000000000000000000000000";
62
- constant ap_st_fsm_state39 : std_logic_vector(41 downto 0) := "000100000000000000000000000000000000000000";
63
- constant ap_st_fsm_state40 : std_logic_vector(41 downto 0) := "001000000000000000000000000000000000000000";
64
- constant ap_st_fsm_state41 : std_logic_vector(41 downto 0) := "010000000000000000000000000000000000000000";
65
- constant ap_st_fsm_state42 : std_logic_vector(41 downto 0) := "100000000000000000000000000000000000000000";
66
- constant ap_const_lv32_0 : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
67
- constant ap_const_lv32_1 : std_logic_vector(31 downto 0) := "00000000000000000000000000000001";
68
- constant ap_const_lv32_4 : std_logic_vector(31 downto 0) := "00000000000000000000000000000100";
69
- constant ap_const_lv32_2 : std_logic_vector(31 downto 0) := "00000000000000000000000000000010";
70
- constant ap_const_lv32_3 : std_logic_vector(31 downto 0) := "00000000000000000000000000000011";
71
- constant ap_const_lv1_0 : std_logic_vector(0 downto 0) := "0";
72
- constant ap_const_lv32_5 : std_logic_vector(31 downto 0) := "00000000000000000000000000000101";
73
- constant ap_const_lv32_6 : std_logic_vector(31 downto 0) := "00000000000000000000000000000110";
74
- constant ap_const_lv32_7 : std_logic_vector(31 downto 0) := "00000000000000000000000000000111";
75
- constant ap_const_lv32_8 : std_logic_vector(31 downto 0) := "00000000000000000000000000001000";
76
- constant ap_const_lv32_9 : std_logic_vector(31 downto 0) := "00000000000000000000000000001001";
77
- constant ap_const_lv1_1 : std_logic_vector(0 downto 0) := "1";
78
- constant ap_const_lv32_b : std_logic_vector(31 downto 0) := "00000000000000000000000000001011";
79
- constant ap_const_lv32_c : std_logic_vector(31 downto 0) := "00000000000000000000000000001100";
80
- constant ap_const_lv32_d : std_logic_vector(31 downto 0) := "00000000000000000000000000001101";
81
- constant ap_const_lv32_e : std_logic_vector(31 downto 0) := "00000000000000000000000000001110";
82
- constant ap_const_lv32_f : std_logic_vector(31 downto 0) := "00000000000000000000000000001111";
83
- constant ap_const_lv32_10 : std_logic_vector(31 downto 0) := "00000000000000000000000000010000";
84
- constant ap_const_lv32_11 : std_logic_vector(31 downto 0) := "00000000000000000000000000010001";
85
- constant ap_const_lv32_12 : std_logic_vector(31 downto 0) := "00000000000000000000000000010010";
86
- constant ap_const_lv32_13 : std_logic_vector(31 downto 0) := "00000000000000000000000000010011";
87
- constant ap_const_lv32_14 : std_logic_vector(31 downto 0) := "00000000000000000000000000010100";
88
- constant ap_const_lv32_15 : std_logic_vector(31 downto 0) := "00000000000000000000000000010101";
89
- constant ap_const_lv32_16 : std_logic_vector(31 downto 0) := "00000000000000000000000000010110";
90
- constant ap_const_lv32_17 : std_logic_vector(31 downto 0) := "00000000000000000000000000010111";
91
- constant ap_const_lv32_18 : std_logic_vector(31 downto 0) := "00000000000000000000000000011000";
92
- constant ap_const_lv32_1a : std_logic_vector(31 downto 0) := "00000000000000000000000000011010";
93
- constant ap_const_lv32_1b : std_logic_vector(31 downto 0) := "00000000000000000000000000011011";
94
- constant ap_const_lv32_1c : std_logic_vector(31 downto 0) := "00000000000000000000000000011100";
95
- constant ap_const_lv32_1d : std_logic_vector(31 downto 0) := "00000000000000000000000000011101";
96
- constant ap_const_lv32_1e : std_logic_vector(31 downto 0) := "00000000000000000000000000011110";
97
- constant ap_const_lv32_1f : std_logic_vector(31 downto 0) := "00000000000000000000000000011111";
98
- constant ap_const_lv32_20 : std_logic_vector(31 downto 0) := "00000000000000000000000000100000";
99
- constant ap_const_lv32_21 : std_logic_vector(31 downto 0) := "00000000000000000000000000100001";
100
- constant ap_const_lv32_22 : std_logic_vector(31 downto 0) := "00000000000000000000000000100010";
101
- constant ap_const_lv32_23 : std_logic_vector(31 downto 0) := "00000000000000000000000000100011";
102
- constant ap_const_lv32_24 : std_logic_vector(31 downto 0) := "00000000000000000000000000100100";
103
- constant ap_const_lv32_25 : std_logic_vector(31 downto 0) := "00000000000000000000000000100101";
104
- constant ap_const_lv32_26 : std_logic_vector(31 downto 0) := "00000000000000000000000000100110";
105
- constant ap_const_lv32_27 : std_logic_vector(31 downto 0) := "00000000000000000000000000100111";
106
- constant ap_const_lv32_28 : std_logic_vector(31 downto 0) := "00000000000000000000000000101000";
107
- constant ap_const_lv5_2 : std_logic_vector(4 downto 0) := "00010";
108
- constant ap_const_lv4_0 : std_logic_vector(3 downto 0) := "0000";
109
- constant ap_const_lv6_0 : std_logic_vector(5 downto 0) := "000000";
110
- constant ap_const_lv32_a : std_logic_vector(31 downto 0) := "00000000000000000000000000001010";
111
- constant ap_const_lv5_0 : std_logic_vector(4 downto 0) := "00000";
112
- constant ap_const_lv3_0 : std_logic_vector(2 downto 0) := "000";
113
- constant ap_const_lv32_19 : std_logic_vector(31 downto 0) := "00000000000000000000000000011001";
114
- constant ap_const_lv32_29 : std_logic_vector(31 downto 0) := "00000000000000000000000000101001";
115
- constant ap_const_lv5_1 : std_logic_vector(4 downto 0) := "00001";
116
- constant ap_const_lv5_16 : std_logic_vector(4 downto 0) := "10110";
117
- constant ap_const_lv5_17 : std_logic_vector(4 downto 0) := "10111";
118
- constant ap_const_lv2_0 : std_logic_vector(1 downto 0) := "00";
119
- constant ap_const_lv39_7fffffffd4 : std_logic_vector(38 downto 0) := "111111111111111111111111111111111010100";
120
- constant ap_const_lv4_a : std_logic_vector(3 downto 0) := "1010";
121
- constant ap_const_lv4_1 : std_logic_vector(3 downto 0) := "0001";
122
- constant ap_const_lv6_17 : std_logic_vector(5 downto 0) := "010111";
123
- constant ap_const_lv6_3f : std_logic_vector(5 downto 0) := "111111";
124
- constant ap_const_lv6_15 : std_logic_vector(5 downto 0) := "010101";
125
- constant ap_const_lv32_2e : std_logic_vector(31 downto 0) := "00000000000000000000000000101110";
126
- constant ap_const_lv3_1 : std_logic_vector(2 downto 0) := "001";
127
- constant ap_const_lv3_5 : std_logic_vector(2 downto 0) := "101";
128
- constant ap_const_lv32_2d : std_logic_vector(31 downto 0) := "00000000000000000000000000101101";
129
- constant ap_const_lv5_1e : std_logic_vector(4 downto 0) := "11110";
130
- constant ap_const_lv7_0 : std_logic_vector(6 downto 0) := "0000000";
131
- constant ap_const_lv31_0 : std_logic_vector(30 downto 0) := "0000000000000000000000000000000";
132
- constant ap_const_lv31_4800 : std_logic_vector(30 downto 0) := "0000000000000000100100000000000";
133
- constant ap_const_lv15_4800 : std_logic_vector(14 downto 0) := "100100000000000";
134
- constant ap_const_lv4_9 : std_logic_vector(3 downto 0) := "1001";
135
- constant ap_const_lv32_3f : std_logic_vector(31 downto 0) := "00000000000000000000000000111111";
136
- constant ap_const_lv35_0 : std_logic_vector(34 downto 0) := "00000000000000000000000000000000000";
137
- constant ap_const_lv29_1fffff80 : std_logic_vector(28 downto 0) := "11111111111111111111110000000";
138
- constant ap_const_lv29_80 : std_logic_vector(28 downto 0) := "00000000000000000000010000000";
139
- constant ap_const_lv8_0 : std_logic_vector(7 downto 0) := "00000000";
140
- constant ap_const_lv32_ffffff40 : std_logic_vector(31 downto 0) := "11111111111111111111111101000000";
141
- constant ap_const_lv32_c0 : std_logic_vector(31 downto 0) := "00000000000000000000000011000000";
142
- constant ap_const_lv32_3000 : std_logic_vector(31 downto 0) := "00000000000000000011000000000000";
143
- constant ap_const_lv32_ffffd000 : std_logic_vector(31 downto 0) := "11111111111111111101000000000000";
144
- constant ap_const_lv15_5000 : std_logic_vector(14 downto 0) := "101000000000000";
145
- constant ap_const_lv15_3c00 : std_logic_vector(14 downto 0) := "011110000000000";
146
- constant ap_const_lv16_0 : std_logic_vector(15 downto 0) := "0000000000000000";
147
- constant ap_const_lv43_234 : std_logic_vector(42 downto 0) := "0000000000000000000000000000000001000110100";
148
- constant ap_const_lv2_1 : std_logic_vector(1 downto 0) := "01";
149
- constant ap_const_lv2_3 : std_logic_vector(1 downto 0) := "11";
150
- constant ap_const_lv32_2a : std_logic_vector(31 downto 0) := "00000000000000000000000000101010";
151
- constant ap_const_lv2_2 : std_logic_vector(1 downto 0) := "10";
152
- constant ap_const_lv32_ffffe310 : std_logic_vector(31 downto 0) := "11111111111111111110001100010000";
153
- constant ap_const_lv32_fffff9b0 : std_logic_vector(31 downto 0) := "11111111111111111111100110110000";
154
- constant ap_const_lv32_1cf0 : std_logic_vector(31 downto 0) := "00000000000000000001110011110000";
155
- constant ap_const_lv32_650 : std_logic_vector(31 downto 0) := "00000000000000000000011001010000";
156
- constant ap_const_lv32_31e : std_logic_vector(31 downto 0) := "00000000000000000000001100011110";
157
- constant ap_const_lv32_ffffff2a : std_logic_vector(31 downto 0) := "11111111111111111111111100101010";
158
- constant ap_const_lv31_5800 : std_logic_vector(30 downto 0) := "0000000000000000101100000000000";
159
- constant ap_const_lv15_5800 : std_logic_vector(14 downto 0) := "101100000000000";
160
- constant ap_const_lv4_b : std_logic_vector(3 downto 0) := "1011";
161
- constant ap_const_boolean_1 : boolean := true;
162
- signal ap_cs_fsm : std_logic_vector(41 downto 0) := "000000000000000000000000000000000000000001";
163
- attribute fsm_encoding : string;
164
- attribute fsm_encoding of ap_cs_fsm : signal is "none";
165
- signal ap_cs_fsm_state1 : std_logic;
166
- attribute fsm_encoding of ap_cs_fsm_state1 : signal is "none";
167
- signal tqmf_address0 : std_logic_vector(4 downto 0);
168
- signal tqmf_ce0 : std_logic;
169
- signal tqmf_we0 : std_logic;
170
- signal tqmf_q0 : std_logic_vector(31 downto 0);
171
- signal tqmf_address1 : std_logic_vector(4 downto 0);
172
- signal tqmf_ce1 : std_logic;
173
- signal tqmf_we1 : std_logic;
174
- signal tqmf_d1 : std_logic_vector(31 downto 0);
175
- signal h_address0 : std_logic_vector(4 downto 0);
176
- signal h_ce0 : std_logic;
177
- signal h_q0 : std_logic_vector(14 downto 0);
178
- signal h_address1 : std_logic_vector(4 downto 0);
179
- signal h_ce1 : std_logic;
180
- signal h_q1 : std_logic_vector(14 downto 0);
181
- signal xh : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
182
- signal delay_bpl_address0 : std_logic_vector(2 downto 0);
183
- signal delay_bpl_ce0 : std_logic;
184
- signal delay_bpl_we0 : std_logic;
185
- signal delay_bpl_q0 : std_logic_vector(31 downto 0);
186
- signal delay_dltx_address0 : std_logic_vector(2 downto 0);
187
- signal delay_dltx_ce0 : std_logic;
188
- signal delay_dltx_we0 : std_logic;
189
- signal delay_dltx_q0 : std_logic_vector(31 downto 0);
190
- signal delay_dltx_ce1 : std_logic;
191
- signal delay_dltx_we1 : std_logic;
192
- signal delay_dltx_q1 : std_logic_vector(31 downto 0);
193
- signal rlt1 : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
194
- signal al1 : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
195
- signal rlt2 : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
196
- signal al2 : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
197
- signal sl : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
198
- signal detl : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
199
- signal decis_levl_address0 : std_logic_vector(4 downto 0);
200
- signal decis_levl_ce0 : std_logic;
201
- signal decis_levl_q0 : std_logic_vector(14 downto 0);
202
- signal quant26bt_pos_address0 : std_logic_vector(4 downto 0);
203
- signal quant26bt_pos_ce0 : std_logic;
204
- signal quant26bt_pos_q0 : std_logic_vector(5 downto 0);
205
- signal quant26bt_neg_address0 : std_logic_vector(4 downto 0);
206
- signal quant26bt_neg_ce0 : std_logic;
207
- signal quant26bt_neg_q0 : std_logic_vector(5 downto 0);
208
- signal il : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
209
- signal qq4_code4_table_address0 : std_logic_vector(3 downto 0);
210
- signal qq4_code4_table_ce0 : std_logic;
211
- signal qq4_code4_table_q0 : std_logic_vector(15 downto 0);
212
- signal dlt : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
213
- signal nbl : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
214
- signal wl_code_table_address0 : std_logic_vector(3 downto 0);
215
- signal wl_code_table_ce0 : std_logic;
216
- signal wl_code_table_q0 : std_logic_vector(12 downto 0);
217
- signal ilb_table_address0 : std_logic_vector(4 downto 0);
218
- signal ilb_table_ce0 : std_logic;
219
- signal ilb_table_q0 : std_logic_vector(11 downto 0);
220
- signal plt : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
221
- signal plt1 : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
222
- signal plt2 : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
223
- signal delay_bph_address0 : std_logic_vector(2 downto 0);
224
- signal delay_bph_ce0 : std_logic;
225
- signal delay_bph_we0 : std_logic;
226
- signal delay_bph_q0 : std_logic_vector(31 downto 0);
227
- signal delay_dhx_address0 : std_logic_vector(2 downto 0);
228
- signal delay_dhx_ce0 : std_logic;
229
- signal delay_dhx_we0 : std_logic;
230
- signal delay_dhx_q0 : std_logic_vector(31 downto 0);
231
- signal delay_dhx_ce1 : std_logic;
232
- signal delay_dhx_we1 : std_logic;
233
- signal delay_dhx_q1 : std_logic_vector(31 downto 0);
234
- signal rh1 : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
235
- signal ah1 : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
236
- signal rh2 : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
237
- signal ah2 : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
238
- signal sh : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
239
- signal ih : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
240
- signal deth : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
241
- signal dh : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
242
- signal nbh : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
243
- signal ph : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
244
- signal ph1 : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
245
- signal ph2 : std_logic_vector(31 downto 0) := "00000000000000000000000000000000";
246
- signal reg_688 : std_logic_vector(31 downto 0);
247
- signal ap_cs_fsm_state2 : std_logic;
248
- attribute fsm_encoding of ap_cs_fsm_state2 : signal is "none";
249
- signal ap_cs_fsm_state5 : std_logic;
250
- attribute fsm_encoding of ap_cs_fsm_state5 : signal is "none";
251
- signal ap_cs_fsm_state3 : std_logic;
252
- attribute fsm_encoding of ap_cs_fsm_state3 : signal is "none";
253
- signal xa_cast_fu_722_p1 : std_logic_vector(49 downto 0);
254
- signal xb_cast_fu_736_p1 : std_logic_vector(49 downto 0);
255
- signal ap_cs_fsm_state4 : std_logic;
256
- attribute fsm_encoding of ap_cs_fsm_state4 : signal is "none";
257
- signal tqmf_addr_reg_2673 : std_logic_vector(4 downto 0);
258
- signal i_4_fu_752_p2 : std_logic_vector(3 downto 0);
259
- signal i_4_reg_2681 : std_logic_vector(3 downto 0);
260
- signal exitcond2_fu_746_p2 : std_logic_vector(0 downto 0);
261
- signal phitmp_fu_770_p2 : std_logic_vector(4 downto 0);
262
- signal phitmp_reg_2696 : std_logic_vector(4 downto 0);
263
- signal h_load_reg_2701 : std_logic_vector(14 downto 0);
264
- signal h_ptr_load_reg_2706 : std_logic_vector(14 downto 0);
265
- signal tmp_9_fu_783_p2 : std_logic_vector(45 downto 0);
266
- signal tmp_9_reg_2711 : std_logic_vector(45 downto 0);
267
- signal ap_cs_fsm_state6 : std_logic;
268
- attribute fsm_encoding of ap_cs_fsm_state6 : signal is "none";
269
- signal tmp_1_fu_796_p2 : std_logic_vector(45 downto 0);
270
- signal tmp_1_reg_2716 : std_logic_vector(45 downto 0);
271
- signal xa_2_fu_805_p2 : std_logic_vector(49 downto 0);
272
- signal ap_cs_fsm_state7 : std_logic;
273
- attribute fsm_encoding of ap_cs_fsm_state7 : signal is "none";
274
- signal xb_2_fu_814_p2 : std_logic_vector(49 downto 0);
275
- signal tmp_s_fu_824_p2 : std_logic_vector(38 downto 0);
276
- signal tmp_s_reg_2731 : std_logic_vector(38 downto 0);
277
- signal ap_cs_fsm_state8 : std_logic;
278
- attribute fsm_encoding of ap_cs_fsm_state8 : signal is "none";
279
- signal xa_1_fu_840_p2 : std_logic_vector(49 downto 0);
280
- signal xa_1_reg_2737 : std_logic_vector(49 downto 0);
281
- signal ap_cs_fsm_state9 : std_logic;
282
- attribute fsm_encoding of ap_cs_fsm_state9 : signal is "none";
283
- signal xa_1_cast_fu_846_p2 : std_logic_vector(46 downto 0);
284
- signal xa_1_cast_reg_2742 : std_logic_vector(46 downto 0);
285
- signal xb_1_fu_894_p2 : std_logic_vector(49 downto 0);
286
- signal xb_1_reg_2747 : std_logic_vector(49 downto 0);
287
- signal xb_1_cast_fu_900_p2 : std_logic_vector(46 downto 0);
288
- signal xb_1_cast_reg_2752 : std_logic_vector(46 downto 0);
289
- signal tqmf_addr_2_reg_2757 : std_logic_vector(4 downto 0);
290
- signal ap_cs_fsm_state10 : std_logic;
291
- attribute fsm_encoding of ap_cs_fsm_state10 : signal is "none";
292
- signal tqmf_ptr1_0_rec_fu_917_p2 : std_logic_vector(5 downto 0);
293
- signal tqmf_ptr1_0_rec_reg_2762 : std_logic_vector(5 downto 0);
294
- signal i_5_fu_940_p2 : std_logic_vector(4 downto 0);
295
- signal i_5_reg_2775 : std_logic_vector(4 downto 0);
296
- signal tmp_3_reg_2780 : std_logic_vector(31 downto 0);
297
- signal exitcond_fu_934_p2 : std_logic_vector(0 downto 0);
298
- signal delay_bpl_load_reg_2785 : std_logic_vector(31 downto 0);
299
- signal ap_cs_fsm_state12 : std_logic;
300
- attribute fsm_encoding of ap_cs_fsm_state12 : signal is "none";
301
- signal delay_dltx_load_reg_2790 : std_logic_vector(31 downto 0);
302
- signal zl_fu_992_p2 : std_logic_vector(63 downto 0);
303
- signal ap_cs_fsm_state13 : std_logic;
304
- attribute fsm_encoding of ap_cs_fsm_state13 : signal is "none";
305
- signal p_01_rec_i_fu_998_p2 : std_logic_vector(2 downto 0);
306
- signal p_01_rec_i_reg_2800 : std_logic_vector(2 downto 0);
307
- signal ap_cs_fsm_state14 : std_logic;
308
- attribute fsm_encoding of ap_cs_fsm_state14 : signal is "none";
309
- signal pl_1_fu_1034_p2 : std_logic_vector(63 downto 0);
310
- signal pl_1_reg_2818 : std_logic_vector(63 downto 0);
311
- signal exitcond5_fu_1010_p2 : std_logic_vector(0 downto 0);
312
- signal tmp_56_i_fu_1054_p2 : std_logic_vector(63 downto 0);
313
- signal tmp_56_i_reg_2823 : std_logic_vector(63 downto 0);
314
- signal tmp_53_i_fu_1068_p2 : std_logic_vector(63 downto 0);
315
- signal tmp_53_i_reg_2828 : std_logic_vector(63 downto 0);
316
- signal ap_cs_fsm_state15 : std_logic;
317
- attribute fsm_encoding of ap_cs_fsm_state15 : signal is "none";
318
- signal zl_1_fu_1074_p2 : std_logic_vector(63 downto 0);
319
- signal ap_cs_fsm_state16 : std_logic;
320
- attribute fsm_encoding of ap_cs_fsm_state16 : signal is "none";
321
- signal tmp_58_i_reg_2838 : std_logic_vector(31 downto 0);
322
- signal ap_cs_fsm_state17 : std_logic;
323
- attribute fsm_encoding of ap_cs_fsm_state17 : signal is "none";
324
- signal tmp_50_i_fu_1099_p4 : std_logic_vector(31 downto 0);
325
- signal tmp_50_i_reg_2843 : std_logic_vector(31 downto 0);
326
- signal ap_cs_fsm_state18 : std_logic;
327
- attribute fsm_encoding of ap_cs_fsm_state18 : signal is "none";
328
- signal tmp_27_fu_1141_p3 : std_logic_vector(0 downto 0);
329
- signal tmp_27_reg_2848 : std_logic_vector(0 downto 0);
330
- signal n_assign_1_fu_1155_p3 : std_logic_vector(31 downto 0);
331
- signal n_assign_1_reg_2853 : std_logic_vector(31 downto 0);
332
- signal tmp_i1_cast_fu_1163_p1 : std_logic_vector(46 downto 0);
333
- signal tmp_i1_cast_reg_2858 : std_logic_vector(46 downto 0);
334
- signal tmp_35_i_fu_1167_p2 : std_logic_vector(0 downto 0);
335
- signal tmp_35_i_reg_2864 : std_logic_vector(0 downto 0);
336
- signal ap_cs_fsm_state19 : std_logic;
337
- attribute fsm_encoding of ap_cs_fsm_state19 : signal is "none";
338
- signal mil_fu_1173_p2 : std_logic_vector(4 downto 0);
339
- signal mil_reg_2868 : std_logic_vector(4 downto 0);
340
- signal decis_levl_load_reg_2878 : std_logic_vector(14 downto 0);
341
- signal ap_cs_fsm_state20 : std_logic;
342
- attribute fsm_encoding of ap_cs_fsm_state20 : signal is "none";
343
- signal tmp_5_reg_2883 : std_logic_vector(31 downto 0);
344
- signal ap_cs_fsm_state21 : std_logic;
345
- attribute fsm_encoding of ap_cs_fsm_state21 : signal is "none";
346
- signal ap_cs_fsm_state22 : std_logic;
347
- attribute fsm_encoding of ap_cs_fsm_state22 : signal is "none";
348
- signal tmp_39_i_fu_1202_p2 : std_logic_vector(0 downto 0);
349
- signal ap_cs_fsm_state23 : std_logic;
350
- attribute fsm_encoding of ap_cs_fsm_state23 : signal is "none";
351
- signal qq4_code4_table_load_reg_2911 : std_logic_vector(15 downto 0);
352
- signal ap_cs_fsm_state24 : std_logic;
353
- attribute fsm_encoding of ap_cs_fsm_state24 : signal is "none";
354
- signal tmp_30_fu_1323_p1 : std_logic_vector(14 downto 0);
355
- signal tmp_30_reg_2916 : std_logic_vector(14 downto 0);
356
- signal tmp_45_i_fu_1327_p2 : std_logic_vector(0 downto 0);
357
- signal tmp_45_i_reg_2921 : std_logic_vector(0 downto 0);
358
- signal tmp_19_reg_2926 : std_logic_vector(31 downto 0);
359
- signal ap_cs_fsm_state25 : std_logic;
360
- attribute fsm_encoding of ap_cs_fsm_state25 : signal is "none";
361
- signal wd2_cast_reg_2932 : std_logic_vector(3 downto 0);
362
- signal tmp_38_reg_2942 : std_logic_vector(0 downto 0);
363
- signal ap_cs_fsm_state27 : std_logic;
364
- attribute fsm_encoding of ap_cs_fsm_state27 : signal is "none";
365
- signal grp_upzero_fu_653_ap_done : std_logic;
366
- signal tmp_19_i_fu_1479_p2 : std_logic_vector(63 downto 0);
367
- signal tmp_19_i_reg_2948 : std_logic_vector(63 downto 0);
368
- signal apl2_fu_1611_p2 : std_logic_vector(31 downto 0);
369
- signal apl2_reg_2953 : std_logic_vector(31 downto 0);
370
- signal ap_cs_fsm_state28 : std_logic;
371
- attribute fsm_encoding of ap_cs_fsm_state28 : signal is "none";
372
- signal apl1_fu_1652_p2 : std_logic_vector(31 downto 0);
373
- signal apl1_reg_2959 : std_logic_vector(31 downto 0);
374
- signal apl2_assign_fu_1680_p3 : std_logic_vector(14 downto 0);
375
- signal apl2_assign_reg_2965 : std_logic_vector(14 downto 0);
376
- signal ap_cs_fsm_state29 : std_logic;
377
- attribute fsm_encoding of ap_cs_fsm_state29 : signal is "none";
378
- signal delay_bph_load_reg_2971 : std_logic_vector(31 downto 0);
379
- signal delay_dhx_load_reg_2976 : std_logic_vector(31 downto 0);
380
- signal zl_2_fu_1798_p2 : std_logic_vector(63 downto 0);
381
- signal ap_cs_fsm_state30 : std_logic;
382
- attribute fsm_encoding of ap_cs_fsm_state30 : signal is "none";
383
- signal p_01_rec_i1_fu_1804_p2 : std_logic_vector(2 downto 0);
384
- signal p_01_rec_i1_reg_2986 : std_logic_vector(2 downto 0);
385
- signal ap_cs_fsm_state31 : std_logic;
386
- attribute fsm_encoding of ap_cs_fsm_state31 : signal is "none";
387
- signal pl_4_fu_1840_p2 : std_logic_vector(63 downto 0);
388
- signal pl_4_reg_3004 : std_logic_vector(63 downto 0);
389
- signal exitcond4_fu_1816_p2 : std_logic_vector(0 downto 0);
390
- signal tmp_56_i1_fu_1860_p2 : std_logic_vector(63 downto 0);
391
- signal tmp_56_i1_reg_3009 : std_logic_vector(63 downto 0);
392
- signal tmp_53_i1_fu_1874_p2 : std_logic_vector(63 downto 0);
393
- signal tmp_53_i1_reg_3014 : std_logic_vector(63 downto 0);
394
- signal ap_cs_fsm_state32 : std_logic;
395
- attribute fsm_encoding of ap_cs_fsm_state32 : signal is "none";
396
- signal zl_3_fu_1880_p2 : std_logic_vector(63 downto 0);
397
- signal ap_cs_fsm_state33 : std_logic;
398
- attribute fsm_encoding of ap_cs_fsm_state33 : signal is "none";
399
- signal tmp_50_i1_fu_1885_p4 : std_logic_vector(31 downto 0);
400
- signal tmp_50_i1_reg_3024 : std_logic_vector(31 downto 0);
401
- signal ap_cs_fsm_state34 : std_logic;
402
- attribute fsm_encoding of ap_cs_fsm_state34 : signal is "none";
403
- signal tmp_26_fu_1921_p2 : std_logic_vector(31 downto 0);
404
- signal tmp_26_reg_3029 : std_logic_vector(31 downto 0);
405
- signal tmp_31_fu_1941_p2 : std_logic_vector(42 downto 0);
406
- signal tmp_31_reg_3039 : std_logic_vector(42 downto 0);
407
- signal tmp_54_fu_1962_p3 : std_logic_vector(0 downto 0);
408
- signal tmp_54_reg_3044 : std_logic_vector(0 downto 0);
409
- signal ap_cs_fsm_state35 : std_logic;
410
- attribute fsm_encoding of ap_cs_fsm_state35 : signal is "none";
411
- signal tmp_29_fu_1970_p3 : std_logic_vector(1 downto 0);
412
- signal tmp_47_cast1_fu_1992_p1 : std_logic_vector(46 downto 0);
413
- signal tmp_47_cast1_reg_3055 : std_logic_vector(46 downto 0);
414
- signal tmp_33_fu_2036_p3 : std_logic_vector(1 downto 0);
415
- signal ap_cs_fsm_state36 : std_logic;
416
- attribute fsm_encoding of ap_cs_fsm_state36 : signal is "none";
417
- signal tmp_36_reg_3068 : std_logic_vector(31 downto 0);
418
- signal ap_cs_fsm_state37 : std_logic;
419
- attribute fsm_encoding of ap_cs_fsm_state37 : signal is "none";
420
- signal tmp_58_fu_2183_p1 : std_logic_vector(14 downto 0);
421
- signal tmp_58_reg_3074 : std_logic_vector(14 downto 0);
422
- signal tmp_48_i_fu_2187_p2 : std_logic_vector(0 downto 0);
423
- signal tmp_48_i_reg_3079 : std_logic_vector(0 downto 0);
424
- signal wd2_4_cast_reg_3084 : std_logic_vector(3 downto 0);
425
- signal ap_cs_fsm_state38 : std_logic;
426
- attribute fsm_encoding of ap_cs_fsm_state38 : signal is "none";
427
- signal tmp_59_reg_3094 : std_logic_vector(0 downto 0);
428
- signal ap_cs_fsm_state39 : std_logic;
429
- attribute fsm_encoding of ap_cs_fsm_state39 : signal is "none";
430
- signal tmp_19_i1_fu_2315_p2 : std_logic_vector(63 downto 0);
431
- signal tmp_19_i1_reg_3100 : std_logic_vector(63 downto 0);
432
- signal apl2_1_fu_2447_p2 : std_logic_vector(31 downto 0);
433
- signal apl2_1_reg_3105 : std_logic_vector(31 downto 0);
434
- signal ap_cs_fsm_state40 : std_logic;
435
- attribute fsm_encoding of ap_cs_fsm_state40 : signal is "none";
436
- signal apl1_4_fu_2488_p2 : std_logic_vector(31 downto 0);
437
- signal apl1_4_reg_3111 : std_logic_vector(31 downto 0);
438
- signal apl2_assign_1_fu_2516_p3 : std_logic_vector(14 downto 0);
439
- signal apl2_assign_1_reg_3117 : std_logic_vector(14 downto 0);
440
- signal ap_cs_fsm_state41 : std_logic;
441
- attribute fsm_encoding of ap_cs_fsm_state41 : signal is "none";
442
- signal grp_upzero_fu_653_ap_start : std_logic;
443
- signal grp_upzero_fu_653_ap_idle : std_logic;
444
- signal grp_upzero_fu_653_ap_ready : std_logic;
445
- signal grp_upzero_fu_653_dlt : std_logic_vector(31 downto 0);
446
- signal grp_upzero_fu_653_dlti_address0 : std_logic_vector(2 downto 0);
447
- signal grp_upzero_fu_653_dlti_ce0 : std_logic;
448
- signal grp_upzero_fu_653_dlti_we0 : std_logic;
449
- signal grp_upzero_fu_653_dlti_d0 : std_logic_vector(31 downto 0);
450
- signal grp_upzero_fu_653_dlti_q0 : std_logic_vector(31 downto 0);
451
- signal grp_upzero_fu_653_dlti_address1 : std_logic_vector(2 downto 0);
452
- signal grp_upzero_fu_653_dlti_ce1 : std_logic;
453
- signal grp_upzero_fu_653_dlti_we1 : std_logic;
454
- signal grp_upzero_fu_653_dlti_d1 : std_logic_vector(31 downto 0);
455
- signal grp_upzero_fu_653_dlti_q1 : std_logic_vector(31 downto 0);
456
- signal grp_upzero_fu_653_bli_address0 : std_logic_vector(2 downto 0);
457
- signal grp_upzero_fu_653_bli_ce0 : std_logic;
458
- signal grp_upzero_fu_653_bli_we0 : std_logic;
459
- signal grp_upzero_fu_653_bli_d0 : std_logic_vector(31 downto 0);
460
- signal grp_upzero_fu_653_bli_q0 : std_logic_vector(31 downto 0);
461
- signal tqmf_ptr_0_rec_reg_526 : std_logic_vector(4 downto 0);
462
- signal i_reg_537 : std_logic_vector(3 downto 0);
463
- signal xa1_reg_548 : std_logic_vector(49 downto 0);
464
- signal xb1_reg_558 : std_logic_vector(49 downto 0);
465
- signal tqmf_ptr_0_pn_rec_reg_568 : std_logic_vector(5 downto 0);
466
- signal ap_cs_fsm_state11 : std_logic;
467
- attribute fsm_encoding of ap_cs_fsm_state11 : signal is "none";
468
- signal i_1_reg_579 : std_logic_vector(4 downto 0);
469
- signal zl1_i_reg_590 : std_logic_vector(63 downto 0);
470
- signal dlt_pn_rec_i_reg_600 : std_logic_vector(2 downto 0);
471
- signal mil_i_reg_611 : std_logic_vector(4 downto 0);
472
- signal zl1_i1_reg_623 : std_logic_vector(63 downto 0);
473
- signal dlt_pn_rec_i1_reg_633 : std_logic_vector(2 downto 0);
474
- signal ih_assign_reg_644 : std_logic_vector(1 downto 0);
475
- signal tmp_32_fu_2030_p2 : std_logic_vector(0 downto 0);
476
- signal ap_reg_grp_upzero_fu_653_ap_start : std_logic := '0';
477
- signal ap_cs_fsm_state26 : std_logic;
478
- attribute fsm_encoding of ap_cs_fsm_state26 : signal is "none";
479
- signal tqmf_ptr_0_rec_cast_fu_740_p1 : std_logic_vector(63 downto 0);
480
- signal tqmf_ptr_0_sum1_cast_fu_764_p1 : std_logic_vector(63 downto 0);
481
- signal p_sum_cast_fu_912_p1 : std_logic_vector(63 downto 0);
482
- signal tqmf_ptr_0_sum_cast_fu_929_p1 : std_logic_vector(63 downto 0);
483
- signal p_01_rec_i_cast_fu_1004_p1 : std_logic_vector(63 downto 0);
484
- signal tmp_36_i_fu_1179_p1 : std_logic_vector(63 downto 0);
485
- signal tmp_41_i_fu_1206_p1 : std_logic_vector(63 downto 0);
486
- signal tmp_16_fu_1239_p1 : std_logic_vector(63 downto 0);
487
- signal tmp_i3_fu_1393_p1 : std_logic_vector(63 downto 0);
488
- signal p_01_rec_i1_cast_fu_1810_p1 : std_logic_vector(63 downto 0);
489
- signal tmp_i1_fu_2229_p1 : std_logic_vector(63 downto 0);
490
- signal tmp_25_fu_1768_p2 : std_logic_vector(31 downto 0);
491
- signal apl1_3_cast_fu_1750_p1 : std_logic_vector(31 downto 0);
492
- signal apl2_assign_cast_fu_1688_p1 : std_logic_vector(31 downto 0);
493
- signal tmp_13_fu_1115_p2 : std_logic_vector(31 downto 0);
494
- signal el_assign_fu_1126_p2 : std_logic_vector(31 downto 0);
495
- signal tmp_34_i_cast_fu_1421_p1 : std_logic_vector(31 downto 0);
496
- signal il_assign_cast_fu_1219_p1 : std_logic_vector(31 downto 0);
497
- signal ap_cs_fsm_state42 : std_logic;
498
- attribute fsm_encoding of ap_cs_fsm_state42 : signal is "none";
499
- signal nbl_assign_2_cast_fu_1363_p1 : std_logic_vector(31 downto 0);
500
- signal tmp_21_fu_1431_p2 : std_logic_vector(31 downto 0);
501
- signal tmp_45_fu_2604_p2 : std_logic_vector(31 downto 0);
502
- signal apl1_7_cast_fu_2586_p1 : std_logic_vector(31 downto 0);
503
- signal apl2_assign_1_cast_fu_2524_p1 : std_logic_vector(31 downto 0);
504
- signal tmp_58_i1_fu_1905_p4 : std_logic_vector(31 downto 0);
505
- signal n_assign_2_fu_1951_p2 : std_logic_vector(31 downto 0);
506
- signal tmp_46_cast_cast_fu_1978_p3 : std_logic_vector(31 downto 0);
507
- signal tmp_51_cast_cast_fu_2043_p3 : std_logic_vector(31 downto 0);
508
- signal tmp_34_i1_cast_fu_2267_p1 : std_logic_vector(31 downto 0);
509
- signal nbl_assign_3_cast_fu_2199_p1 : std_logic_vector(31 downto 0);
510
- signal tmp_40_fu_2234_p2 : std_logic_vector(31 downto 0);
511
- signal p_shl_fu_692_p3 : std_logic_vector(35 downto 0);
512
- signal p_shl1_fu_704_p3 : std_logic_vector(33 downto 0);
513
- signal p_shl_cast_fu_700_p1 : std_logic_vector(36 downto 0);
514
- signal p_shl1_cast_fu_712_p1 : std_logic_vector(36 downto 0);
515
- signal xa_fu_716_p2 : std_logic_vector(36 downto 0);
516
- signal xb_fu_730_p0 : std_logic_vector(31 downto 0);
517
- signal xb_fu_730_p2 : std_logic_vector(38 downto 0);
518
- signal tqmf_ptr_0_sum1_fu_758_p2 : std_logic_vector(4 downto 0);
519
- signal tmp_9_fu_783_p0 : std_logic_vector(14 downto 0);
520
- signal tmp_9_fu_783_p1 : std_logic_vector(31 downto 0);
521
- signal tmp_1_fu_796_p0 : std_logic_vector(14 downto 0);
522
- signal tmp_1_fu_796_p1 : std_logic_vector(31 downto 0);
523
- signal tmp_25_cast_fu_802_p1 : std_logic_vector(49 downto 0);
524
- signal tmp_28_cast_fu_811_p1 : std_logic_vector(49 downto 0);
525
- signal tmp_s_fu_824_p1 : std_logic_vector(31 downto 0);
526
- signal tmp_15_cast_fu_830_p1 : std_logic_vector(49 downto 0);
527
- signal tmp_15_fu_836_p1 : std_logic_vector(46 downto 0);
528
- signal tmp_fu_833_p1 : std_logic_vector(46 downto 0);
529
- signal p_shl2_fu_852_p3 : std_logic_vector(35 downto 0);
530
- signal p_shl3_fu_864_p3 : std_logic_vector(33 downto 0);
531
- signal p_shl2_cast_fu_860_p1 : std_logic_vector(36 downto 0);
532
- signal p_shl3_cast_fu_872_p1 : std_logic_vector(36 downto 0);
533
- signal tmp_8_fu_876_p2 : std_logic_vector(36 downto 0);
534
- signal tmp_22_cast_fu_882_p1 : std_logic_vector(49 downto 0);
535
- signal tmp_17_fu_890_p1 : std_logic_vector(46 downto 0);
536
- signal tmp_2_fu_886_p1 : std_logic_vector(46 downto 0);
537
- signal p_sum_fu_906_p2 : std_logic_vector(5 downto 0);
538
- signal tqmf_ptr_0_sum_fu_923_p2 : std_logic_vector(5 downto 0);
539
- signal tmp_4_fu_946_p2 : std_logic_vector(49 downto 0);
540
- signal tmp_10_fu_966_p2 : std_logic_vector(46 downto 0);
541
- signal zl_fu_992_p0 : std_logic_vector(31 downto 0);
542
- signal zl_fu_992_p1 : std_logic_vector(31 downto 0);
543
- signal tmp_20_fu_1020_p2 : std_logic_vector(31 downto 0);
544
- signal pl_1_fu_1034_p0 : std_logic_vector(31 downto 0);
545
- signal pl_1_fu_1034_p1 : std_logic_vector(31 downto 0);
546
- signal tmp_23_fu_1040_p2 : std_logic_vector(31 downto 0);
547
- signal tmp_56_i_fu_1054_p0 : std_logic_vector(31 downto 0);
548
- signal tmp_56_i_fu_1054_p1 : std_logic_vector(31 downto 0);
549
- signal tmp_53_i_fu_1068_p0 : std_logic_vector(31 downto 0);
550
- signal tmp_53_i_fu_1068_p1 : std_logic_vector(31 downto 0);
551
- signal pl_2_fu_1079_p2 : std_logic_vector(63 downto 0);
552
- signal m_fu_1149_p2 : std_logic_vector(31 downto 0);
553
- signal tmp_38_i_fu_1187_p0 : std_logic_vector(31 downto 0);
554
- signal tmp_38_i_fu_1187_p1 : std_logic_vector(14 downto 0);
555
- signal tmp_38_i_fu_1187_p2 : std_logic_vector(46 downto 0);
556
- signal ril_2_fu_1212_p3 : std_logic_vector(5 downto 0);
557
- signal tmp_14_fu_1229_p4 : std_logic_vector(3 downto 0);
558
- signal p_shl_i_fu_1253_p3 : std_logic_vector(38 downto 0);
559
- signal tmp_i1_cast_29_fu_1249_p1 : std_logic_vector(38 downto 0);
560
- signal tmp_i2_fu_1261_p2 : std_logic_vector(38 downto 0);
561
- signal wl_code_table_load_c_fu_1277_p1 : std_logic_vector(31 downto 0);
562
- signal tmp_42_i_fu_1267_p4 : std_logic_vector(31 downto 0);
563
- signal tmp_6_fu_1281_p4 : std_logic_vector(30 downto 0);
564
- signal tmp_7_fu_1291_p1 : std_logic_vector(30 downto 0);
565
- signal nbl_assign_1_fu_1295_p2 : std_logic_vector(31 downto 0);
566
- signal tmp_28_fu_1307_p3 : std_logic_vector(0 downto 0);
567
- signal nbl_assign_1_cast_fu_1301_p2 : std_logic_vector(30 downto 0);
568
- signal p_i_fu_1315_p3 : std_logic_vector(30 downto 0);
569
- signal tmp_18_fu_1336_p0 : std_logic_vector(15 downto 0);
570
- signal tmp_18_fu_1336_p1 : std_logic_vector(31 downto 0);
571
- signal tmp_18_fu_1336_p2 : std_logic_vector(46 downto 0);
572
- signal nbl_assign_2_fu_1357_p3 : std_logic_vector(14 downto 0);
573
- signal wd1_fu_1373_p4 : std_logic_vector(4 downto 0);
574
- signal tmp_33_i_fu_1398_p2 : std_logic_vector(3 downto 0);
575
- signal tmp_33_i_cast_cast_fu_1403_p1 : std_logic_vector(11 downto 0);
576
- signal wd3_fu_1407_p2 : std_logic_vector(11 downto 0);
577
- signal tmp_34_i_fu_1413_p3 : std_logic_vector(14 downto 0);
578
- signal tmp_15_i_fu_1461_p0 : std_logic_vector(31 downto 0);
579
- signal tmp_15_i_fu_1461_p1 : std_logic_vector(31 downto 0);
580
- signal tmp_i4_fu_1453_p1 : std_logic_vector(63 downto 0);
581
- signal tmp_15_i_fu_1461_p2 : std_logic_vector(63 downto 0);
582
- signal tmp_19_i_fu_1479_p0 : std_logic_vector(31 downto 0);
583
- signal tmp_19_i_fu_1479_p1 : std_logic_vector(31 downto 0);
584
- signal wd2_fu_1501_p3 : std_logic_vector(33 downto 0);
585
- signal wd2_1_cast_fu_1509_p1 : std_logic_vector(34 downto 0);
586
- signal tmp_22_fu_1519_p4 : std_logic_vector(26 downto 0);
587
- signal p_shl_i1_fu_1544_p3 : std_logic_vector(38 downto 0);
588
- signal p_shl_i1_cast_fu_1552_p1 : std_logic_vector(39 downto 0);
589
- signal tmp_20_i_cast_fu_1540_p1 : std_logic_vector(39 downto 0);
590
- signal wd2_1_fu_1513_p2 : std_logic_vector(34 downto 0);
591
- signal tmp_24_fu_1529_p1 : std_logic_vector(27 downto 0);
592
- signal tmp_44_fu_1562_p4 : std_logic_vector(27 downto 0);
593
- signal tmp_47_fu_1572_p3 : std_logic_vector(27 downto 0);
594
- signal tmp_21_i_fu_1556_p2 : std_logic_vector(39 downto 0);
595
- signal tmp_42_fu_1533_p3 : std_logic_vector(0 downto 0);
596
- signal tmp_3_i_cast_fu_1579_p1 : std_logic_vector(28 downto 0);
597
- signal tmp_5_i_cast_cast_fu_1593_p3 : std_logic_vector(28 downto 0);
598
- signal tmp6_fu_1601_p2 : std_logic_vector(28 downto 0);
599
- signal tmp6_cast_fu_1607_p1 : std_logic_vector(31 downto 0);
600
- signal tmp_4_i_fu_1583_p4 : std_logic_vector(31 downto 0);
601
- signal p_shl_i2_fu_1617_p3 : std_logic_vector(39 downto 0);
602
- signal p_shl_i2_cast_fu_1625_p1 : std_logic_vector(40 downto 0);
603
- signal tmp_i3_cast_fu_1497_p1 : std_logic_vector(40 downto 0);
604
- signal tmp_i5_fu_1629_p2 : std_logic_vector(40 downto 0);
605
- signal apl_v_i_cast_cast_fu_1645_p3 : std_logic_vector(31 downto 0);
606
- signal tmp_29_i_fu_1635_p4 : std_logic_vector(31 downto 0);
607
- signal tmp_23_i_fu_1658_p2 : std_logic_vector(0 downto 0);
608
- signal p_i1_fu_1663_p3 : std_logic_vector(31 downto 0);
609
- signal tmp_24_i_fu_1674_p2 : std_logic_vector(0 downto 0);
610
- signal tmp_50_fu_1670_p1 : std_logic_vector(14 downto 0);
611
- signal apl1_8_fu_1697_p2 : std_logic_vector(14 downto 0);
612
- signal apl1_8_cast_fu_1706_p1 : std_logic_vector(31 downto 0);
613
- signal tmp_30_i_fu_1710_p2 : std_logic_vector(0 downto 0);
614
- signal wd3_0_apl1_i_fu_1715_p3 : std_logic_vector(31 downto 0);
615
- signal apl1_8_cast1_fu_1702_p1 : std_logic_vector(15 downto 0);
616
- signal apl1_2_fu_1726_p2 : std_logic_vector(15 downto 0);
617
- signal apl1_2_cast_fu_1732_p1 : std_logic_vector(31 downto 0);
618
- signal tmp_31_i_fu_1736_p2 : std_logic_vector(0 downto 0);
619
- signal tmp_51_fu_1722_p1 : std_logic_vector(15 downto 0);
620
- signal apl1_3_fu_1742_p3 : std_logic_vector(15 downto 0);
621
- signal zl_2_fu_1798_p0 : std_logic_vector(31 downto 0);
622
- signal zl_2_fu_1798_p1 : std_logic_vector(31 downto 0);
623
- signal tmp_52_fu_1826_p2 : std_logic_vector(31 downto 0);
624
- signal pl_4_fu_1840_p0 : std_logic_vector(31 downto 0);
625
- signal pl_4_fu_1840_p1 : std_logic_vector(31 downto 0);
626
- signal tmp_53_fu_1846_p2 : std_logic_vector(31 downto 0);
627
- signal tmp_56_i1_fu_1860_p0 : std_logic_vector(31 downto 0);
628
- signal tmp_56_i1_fu_1860_p1 : std_logic_vector(31 downto 0);
629
- signal tmp_53_i1_fu_1874_p0 : std_logic_vector(31 downto 0);
630
- signal tmp_53_i1_fu_1874_p1 : std_logic_vector(31 downto 0);
631
- signal pl_5_fu_1901_p2 : std_logic_vector(63 downto 0);
632
- signal tmp_31_fu_1941_p1 : std_logic_vector(31 downto 0);
633
- signal tmp_11_fu_1995_p4 : std_logic_vector(30 downto 0);
634
- signal tmp_55_fu_2008_p3 : std_logic_vector(0 downto 0);
635
- signal m_1_fu_2016_p2 : std_logic_vector(31 downto 0);
636
- signal n_assign_3_fu_2022_p3 : std_logic_vector(31 downto 0);
637
- signal decis_fu_2004_p1 : std_logic_vector(31 downto 0);
638
- signal tmp_34_fu_2056_p6 : std_logic_vector(31 downto 0);
639
- signal tmp_35_fu_2074_p0 : std_logic_vector(31 downto 0);
640
- signal tmp_35_fu_2074_p1 : std_logic_vector(31 downto 0);
641
- signal tmp_35_fu_2074_p2 : std_logic_vector(46 downto 0);
642
- signal p_shl_i3_fu_2103_p3 : std_logic_vector(38 downto 0);
643
- signal tmp_i7_cast_fu_2099_p1 : std_logic_vector(38 downto 0);
644
- signal tmp_i8_fu_2111_p2 : std_logic_vector(38 downto 0);
645
- signal tmp_37_fu_2127_p6 : std_logic_vector(31 downto 0);
646
- signal wd_fu_2117_p4 : std_logic_vector(31 downto 0);
647
- signal tmp_39_fu_2141_p4 : std_logic_vector(30 downto 0);
648
- signal tmp_56_fu_2151_p1 : std_logic_vector(30 downto 0);
649
- signal nbh_assign_1_fu_2155_p2 : std_logic_vector(31 downto 0);
650
- signal tmp_57_fu_2167_p3 : std_logic_vector(0 downto 0);
651
- signal nbh_assign_1_cast_fu_2161_p2 : std_logic_vector(30 downto 0);
652
- signal p_i2_fu_2175_p3 : std_logic_vector(30 downto 0);
653
- signal nbl_assign_3_fu_2193_p3 : std_logic_vector(14 downto 0);
654
- signal wd1_1_fu_2209_p4 : std_logic_vector(4 downto 0);
655
- signal tmp_33_i1_fu_2244_p2 : std_logic_vector(3 downto 0);
656
- signal tmp_33_i1_cast_cast_fu_2249_p1 : std_logic_vector(11 downto 0);
657
- signal wd3_2_fu_2253_p2 : std_logic_vector(11 downto 0);
658
- signal tmp_34_i1_fu_2259_p3 : std_logic_vector(14 downto 0);
659
- signal tmp_15_i1_fu_2297_p0 : std_logic_vector(31 downto 0);
660
- signal tmp_15_i1_fu_2297_p1 : std_logic_vector(31 downto 0);
661
- signal tmp_i10_fu_2289_p1 : std_logic_vector(63 downto 0);
662
- signal tmp_15_i1_fu_2297_p2 : std_logic_vector(63 downto 0);
663
- signal tmp_19_i1_fu_2315_p0 : std_logic_vector(31 downto 0);
664
- signal tmp_19_i1_fu_2315_p1 : std_logic_vector(31 downto 0);
665
- signal wd2_2_fu_2337_p3 : std_logic_vector(33 downto 0);
666
- signal wd2_5_cast_fu_2345_p1 : std_logic_vector(34 downto 0);
667
- signal tmp_41_fu_2355_p4 : std_logic_vector(26 downto 0);
668
- signal p_shl_i4_fu_2380_p3 : std_logic_vector(38 downto 0);
669
- signal p_shl_i4_cast_fu_2388_p1 : std_logic_vector(39 downto 0);
670
- signal tmp_20_i1_cast9_fu_2376_p1 : std_logic_vector(39 downto 0);
671
- signal wd2_3_fu_2349_p2 : std_logic_vector(34 downto 0);
672
- signal tmp_43_fu_2365_p1 : std_logic_vector(27 downto 0);
673
- signal tmp_61_fu_2398_p4 : std_logic_vector(27 downto 0);
674
- signal tmp_62_fu_2408_p3 : std_logic_vector(27 downto 0);
675
- signal tmp_21_i1_fu_2392_p2 : std_logic_vector(39 downto 0);
676
- signal tmp_60_fu_2369_p3 : std_logic_vector(0 downto 0);
677
- signal tmp_3_i1_cast_fu_2415_p1 : std_logic_vector(28 downto 0);
678
- signal tmp_5_i1_cast_cast_fu_2429_p3 : std_logic_vector(28 downto 0);
679
- signal tmp7_fu_2437_p2 : std_logic_vector(28 downto 0);
680
- signal tmp7_cast_fu_2443_p1 : std_logic_vector(31 downto 0);
681
- signal tmp_4_i1_fu_2419_p4 : std_logic_vector(31 downto 0);
682
- signal p_shl_i5_fu_2453_p3 : std_logic_vector(39 downto 0);
683
- signal p_shl_i5_cast_fu_2461_p1 : std_logic_vector(40 downto 0);
684
- signal tmp_i10_cast_fu_2333_p1 : std_logic_vector(40 downto 0);
685
- signal tmp_i11_fu_2465_p2 : std_logic_vector(40 downto 0);
686
- signal apl_v_i1_cast_cast_fu_2481_p3 : std_logic_vector(31 downto 0);
687
- signal tmp_29_i1_fu_2471_p4 : std_logic_vector(31 downto 0);
688
- signal tmp_23_i1_fu_2494_p2 : std_logic_vector(0 downto 0);
689
- signal p_i3_fu_2499_p3 : std_logic_vector(31 downto 0);
690
- signal tmp_24_i1_fu_2510_p2 : std_logic_vector(0 downto 0);
691
- signal tmp_63_fu_2506_p1 : std_logic_vector(14 downto 0);
692
- signal apl1_9_fu_2533_p2 : std_logic_vector(14 downto 0);
693
- signal apl1_9_cast_fu_2542_p1 : std_logic_vector(31 downto 0);
694
- signal tmp_30_i1_fu_2546_p2 : std_logic_vector(0 downto 0);
695
- signal wd3_0_apl1_i1_fu_2551_p3 : std_logic_vector(31 downto 0);
696
- signal apl1_9_cast8_fu_2538_p1 : std_logic_vector(15 downto 0);
697
- signal apl1_6_fu_2562_p2 : std_logic_vector(15 downto 0);
698
- signal apl1_6_cast_fu_2568_p1 : std_logic_vector(31 downto 0);
699
- signal tmp_31_i1_fu_2572_p2 : std_logic_vector(0 downto 0);
700
- signal tmp_64_fu_2558_p1 : std_logic_vector(15 downto 0);
701
- signal apl1_7_fu_2578_p3 : std_logic_vector(15 downto 0);
702
- signal tmp_65_fu_2636_p2 : std_logic_vector(31 downto 0);
703
- signal ap_ns_fsm : std_logic_vector(41 downto 0);
704
- signal tmp_38_i_fu_1187_p10 : std_logic_vector(46 downto 0);
705
- component upzero is
706
- port(
707
- ap_clk : in std_logic;
708
- ap_rst : in std_logic;
709
- ap_start : in std_logic;
710
- ap_done : out std_logic;
711
- ap_idle : out std_logic;
712
- ap_ready : out std_logic;
713
- dlt : in std_logic_vector(31 downto 0);
714
- dlti_address0 : out std_logic_vector(2 downto 0);
715
- dlti_ce0 : out std_logic;
716
- dlti_we0 : out std_logic;
717
- dlti_d0 : out std_logic_vector(31 downto 0);
718
- dlti_q0 : in std_logic_vector(31 downto 0);
719
- dlti_address1 : out std_logic_vector(2 downto 0);
720
- dlti_ce1 : out std_logic;
721
- dlti_we1 : out std_logic;
722
- dlti_d1 : out std_logic_vector(31 downto 0);
723
- dlti_q1 : in std_logic_vector(31 downto 0);
724
- bli_address0 : out std_logic_vector(2 downto 0);
725
- bli_ce0 : out std_logic;
726
- bli_we0 : out std_logic;
727
- bli_d0 : out std_logic_vector(31 downto 0);
728
- bli_q0 : in std_logic_vector(31 downto 0));
729
- end component;
730
- component encode_mux_42_32_fyi is
731
- generic(
732
- id : integer;
733
- num_stage : integer;
734
- din1_width : integer;
735
- din2_width : integer;
736
- din3_width : integer;
737
- din4_width : integer;
738
- din5_width : integer;
739
- dout_width : integer);
740
- port(
741
- din1 : in std_logic_vector(31 downto 0);
742
- din2 : in std_logic_vector(31 downto 0);
743
- din3 : in std_logic_vector(31 downto 0);
744
- din4 : in std_logic_vector(31 downto 0);
745
- din5 : in std_logic_vector(1 downto 0);
746
- dout : out std_logic_vector(31 downto 0));
747
- end component;
748
- component encode_tqmf is
749
- generic(
750
- datawidth : integer;
751
- addressrange : integer;
752
- addresswidth : integer);
753
- port(
754
- clk : in std_logic;
755
- reset : in std_logic;
756
- address0 : in std_logic_vector(4 downto 0);
757
- ce0 : in std_logic;
758
- we0 : in std_logic;
759
- d0 : in std_logic_vector(31 downto 0);
760
- q0 : out std_logic_vector(31 downto 0);
761
- address1 : in std_logic_vector(4 downto 0);
762
- ce1 : in std_logic;
763
- we1 : in std_logic;
764
- d1 : in std_logic_vector(31 downto 0));
765
- end component;
766
- component encode_h is
767
- generic(
768
- datawidth : integer;
769
- addressrange : integer;
770
- addresswidth : integer);
771
- port(
772
- clk : in std_logic;
773
- reset : in std_logic;
774
- address0 : in std_logic_vector(4 downto 0);
775
- ce0 : in std_logic;
776
- q0 : out std_logic_vector(14 downto 0);
777
- address1 : in std_logic_vector(4 downto 0);
778
- ce1 : in std_logic;
779
- q1 : out std_logic_vector(14 downto 0));
780
- end component;
781
- component encode_delay_bpl is
782
- generic(
783
- datawidth : integer;
784
- addressrange : integer;
785
- addresswidth : integer);
786
- port(
787
- clk : in std_logic;
788
- reset : in std_logic;
789
- address0 : in std_logic_vector(2 downto 0);
790
- ce0 : in std_logic;
791
- we0 : in std_logic;
792
- d0 : in std_logic_vector(31 downto 0);
793
- q0 : out std_logic_vector(31 downto 0));
794
- end component;
795
- component encode_delay_dltx is
796
- generic(
797
- datawidth : integer;
798
- addressrange : integer;
799
- addresswidth : integer);
800
- port(
801
- clk : in std_logic;
802
- reset : in std_logic;
803
- address0 : in std_logic_vector(2 downto 0);
804
- ce0 : in std_logic;
805
- we0 : in std_logic;
806
- d0 : in std_logic_vector(31 downto 0);
807
- q0 : out std_logic_vector(31 downto 0);
808
- address1 : in std_logic_vector(2 downto 0);
809
- ce1 : in std_logic;
810
- we1 : in std_logic;
811
- d1 : in std_logic_vector(31 downto 0);
812
- q1 : out std_logic_vector(31 downto 0));
813
- end component;
814
- component encode_decis_levl is
815
- generic(
816
- datawidth : integer;
817
- addressrange : integer;
818
- addresswidth : integer);
819
- port(
820
- clk : in std_logic;
821
- reset : in std_logic;
822
- address0 : in std_logic_vector(4 downto 0);
823
- ce0 : in std_logic;
824
- q0 : out std_logic_vector(14 downto 0));
825
- end component;
826
- component encode_quant26bt_bkb is
827
- generic(
828
- datawidth : integer;
829
- addressrange : integer;
830
- addresswidth : integer);
831
- port(
832
- clk : in std_logic;
833
- reset : in std_logic;
834
- address0 : in std_logic_vector(4 downto 0);
835
- ce0 : in std_logic;
836
- q0 : out std_logic_vector(5 downto 0));
837
- end component;
838
- component encode_quant26bt_cud is
839
- generic(
840
- datawidth : integer;
841
- addressrange : integer;
842
- addresswidth : integer);
843
- port(
844
- clk : in std_logic;
845
- reset : in std_logic;
846
- address0 : in std_logic_vector(4 downto 0);
847
- ce0 : in std_logic;
848
- q0 : out std_logic_vector(5 downto 0));
849
- end component;
850
- component encode_qq4_code4_dee is
851
- generic(
852
- datawidth : integer;
853
- addressrange : integer;
854
- addresswidth : integer);
855
- port(
856
- clk : in std_logic;
857
- reset : in std_logic;
858
- address0 : in std_logic_vector(3 downto 0);
859
- ce0 : in std_logic;
860
- q0 : out std_logic_vector(15 downto 0));
861
- end component;
862
- component encode_wl_code_taeog is
863
- generic(
864
- datawidth : integer;
865
- addressrange : integer;
866
- addresswidth : integer);
867
- port(
868
- clk : in std_logic;
869
- reset : in std_logic;
870
- address0 : in std_logic_vector(3 downto 0);
871
- ce0 : in std_logic;
872
- q0 : out std_logic_vector(12 downto 0));
873
- end component;
874
- component encode_ilb_table is
875
- generic(
876
- datawidth : integer;
877
- addressrange : integer;
878
- addresswidth : integer);
879
- port(
880
- clk : in std_logic;
881
- reset : in std_logic;
882
- address0 : in std_logic_vector(4 downto 0);
883
- ce0 : in std_logic;
884
- q0 : out std_logic_vector(11 downto 0));
885
- end component;
886
- begin
887
-
888
- tqmf_u : component encode_tqmf
889
- generic map(
890
- datawidth => 32,
891
- addressrange => 24,
892
- addresswidth => 5);
893
- port map(
894
- clk => ap_clk,
895
- reset => ap_rst,
896
- address0 => tqmf_address0,
897
- ce0 => tqmf_ce0,
898
- we0 => tqmf_we0,
899
- d0 => xin1,
900
- q0 => tqmf_q0,
901
- address1 => tqmf_address1,
902
- ce1 => tqmf_ce1,
903
- we1 => tqmf_we1,
904
- d1 => tqmf_d1);
905
-
906
- h_u : component encode_h
907
- generic map(
908
- datawidth => 15,
909
- addressrange => 24,
910
- addresswidth => 5);
911
- port map(
912
- clk => ap_clk,
913
- reset => ap_rst,
914
- address0 => h_address0,
915
- ce0 => h_ce0,
916
- q0 => h_q0,
917
- address1 => h_address1,
918
- ce1 => h_ce1,
919
- q1 => h_q1);
920
-
921
- delay_bpl_u : component encode_delay_bpl
922
- generic map(
923
- datawidth => 32,
924
- addressrange => 6,
925
- addresswidth => 3);
926
- port map(
927
- clk => ap_clk,
928
- reset => ap_rst,
929
- address0 => delay_bpl_address0,
930
- ce0 => delay_bpl_ce0,
931
- we0 => delay_bpl_we0,
932
- d0 => grp_upzero_fu_653_bli_d0,
933
- q0 => delay_bpl_q0);
934
-
935
- delay_dltx_u : component encode_delay_dltx
936
- generic map(
937
- datawidth => 32,
938
- addressrange => 6,
939
- addresswidth => 3);
940
- port map(
941
- clk => ap_clk,
942
- reset => ap_rst,
943
- address0 => delay_dltx_address0,
944
- ce0 => delay_dltx_ce0,
945
- we0 => delay_dltx_we0,
946
- d0 => grp_upzero_fu_653_dlti_d0,
947
- q0 => delay_dltx_q0,
948
- address1 => grp_upzero_fu_653_dlti_address1,
949
- ce1 => delay_dltx_ce1,
950
- we1 => delay_dltx_we1,
951
- d1 => grp_upzero_fu_653_dlti_d1,
952
- q1 => delay_dltx_q1);
953
-
954
- decis_levl_u : component encode_decis_levl
955
- generic map(
956
- datawidth => 15,
957
- addressrange => 30,
958
- addresswidth => 5);
959
- port map(
960
- clk => ap_clk,
961
- reset => ap_rst,
962
- address0 => decis_levl_address0,
963
- ce0 => decis_levl_ce0,
964
- q0 => decis_levl_q0);
965
-
966
- quant26bt_pos_u : component encode_quant26bt_bkb
967
- generic map(
968
- datawidth => 6,
969
- addressrange => 31,
970
- addresswidth => 5);
971
- port map(
972
- clk => ap_clk,
973
- reset => ap_rst,
974
- address0 => quant26bt_pos_address0,
975
- ce0 => quant26bt_pos_ce0,
976
- q0 => quant26bt_pos_q0);
977
-
978
- quant26bt_neg_u : component encode_quant26bt_cud
979
- generic map(
980
- datawidth => 6,
981
- addressrange => 31,
982
- addresswidth => 5);
983
- port map(
984
- clk => ap_clk,
985
- reset => ap_rst,
986
- address0 => quant26bt_neg_address0,
987
- ce0 => quant26bt_neg_ce0,
988
- q0 => quant26bt_neg_q0);
989
-
990
- qq4_code4_table_u : component encode_qq4_code4_dee
991
- generic map(
992
- datawidth => 16,
993
- addressrange => 16,
994
- addresswidth => 4);
995
- port map(
996
- clk => ap_clk,
997
- reset => ap_rst,
998
- address0 => qq4_code4_table_address0,
999
- ce0 => qq4_code4_table_ce0,
1000
- q0 => qq4_code4_table_q0);
1001
-
1002
- wl_code_table_u : component encode_wl_code_taeog
1003
- generic map(
1004
- datawidth => 13,
1005
- addressrange => 16,
1006
- addresswidth => 4);
1007
- port map(
1008
- clk => ap_clk,
1009
- reset => ap_rst,
1010
- address0 => wl_code_table_address0,
1011
- ce0 => wl_code_table_ce0,
1012
- q0 => wl_code_table_q0);
1013
-
1014
- ilb_table_u : component encode_ilb_table
1015
- generic map(
1016
- datawidth => 12,
1017
- addressrange => 32,
1018
- addresswidth => 5);
1019
- port map(
1020
- clk => ap_clk,
1021
- reset => ap_rst,
1022
- address0 => ilb_table_address0,
1023
- ce0 => ilb_table_ce0,
1024
- q0 => ilb_table_q0);
1025
-
1026
- delay_bph_u : component encode_delay_bpl
1027
- generic map(
1028
- datawidth => 32,
1029
- addressrange => 6,
1030
- addresswidth => 3);
1031
- port map(
1032
- clk => ap_clk,
1033
- reset => ap_rst,
1034
- address0 => delay_bph_address0,
1035
- ce0 => delay_bph_ce0,
1036
- we0 => delay_bph_we0,
1037
- d0 => grp_upzero_fu_653_bli_d0,
1038
- q0 => delay_bph_q0);
1039
-
1040
- delay_dhx_u : component encode_delay_dltx
1041
- generic map(
1042
- datawidth => 32,
1043
- addressrange => 6,
1044
- addresswidth => 3);
1045
- port map(
1046
- clk => ap_clk,
1047
- reset => ap_rst,
1048
- address0 => delay_dhx_address0,
1049
- ce0 => delay_dhx_ce0,
1050
- we0 => delay_dhx_we0,
1051
- d0 => grp_upzero_fu_653_dlti_d0,
1052
- q0 => delay_dhx_q0,
1053
- address1 => grp_upzero_fu_653_dlti_address1,
1054
- ce1 => delay_dhx_ce1,
1055
- we1 => delay_dhx_we1,
1056
- d1 => grp_upzero_fu_653_dlti_d1,
1057
- q1 => delay_dhx_q1);
1058
-
1059
- grp_upzero_fu_653 : component upzero
1060
- port map(
1061
- ap_clk => ap_clk,
1062
- ap_rst => ap_rst,
1063
- ap_start => grp_upzero_fu_653_ap_start,
1064
- ap_done => grp_upzero_fu_653_ap_done,
1065
- ap_idle => grp_upzero_fu_653_ap_idle,
1066
- ap_ready => grp_upzero_fu_653_ap_ready,
1067
- dlt => grp_upzero_fu_653_dlt,
1068
- dlti_address0 => grp_upzero_fu_653_dlti_address0,
1069
- dlti_ce0 => grp_upzero_fu_653_dlti_ce0,
1070
- dlti_we0 => grp_upzero_fu_653_dlti_we0,
1071
- dlti_d0 => grp_upzero_fu_653_dlti_d0,
1072
- dlti_q0 => grp_upzero_fu_653_dlti_q0,
1073
- dlti_address1 => grp_upzero_fu_653_dlti_address1,
1074
- dlti_ce1 => grp_upzero_fu_653_dlti_ce1,
1075
- dlti_we1 => grp_upzero_fu_653_dlti_we1,
1076
- dlti_d1 => grp_upzero_fu_653_dlti_d1,
1077
- dlti_q1 => grp_upzero_fu_653_dlti_q1,
1078
- bli_address0 => grp_upzero_fu_653_bli_address0,
1079
- bli_ce0 => grp_upzero_fu_653_bli_ce0,
1080
- bli_we0 => grp_upzero_fu_653_bli_we0,
1081
- bli_d0 => grp_upzero_fu_653_bli_d0,
1082
- bli_q0 => grp_upzero_fu_653_bli_q0);
1083
-
1084
- encode_mux_42_32_fyi_u4 : component encode_mux_42_32_fyi
1085
- generic map(
1086
- id => 1,
1087
- num_stage => 1,
1088
- din1_width => 32,
1089
- din2_width => 32,
1090
- din3_width => 32,
1091
- din4_width => 32,
1092
- din5_width => 2,
1093
- dout_width => 32);
1094
- port map(
1095
- din1 => ap_const_lv32_ffffe310,
1096
- din2 => ap_const_lv32_fffff9b0,
1097
- din3 => ap_const_lv32_1cf0,
1098
- din4 => ap_const_lv32_650,
1099
- din5 => ih_assign_reg_644,
1100
- dout => tmp_34_fu_2056_p6);
1101
-
1102
- encode_mux_42_32_fyi_u5 : component encode_mux_42_32_fyi
1103
- generic map(
1104
- id => 1,
1105
- num_stage => 1,
1106
- din1_width => 32,
1107
- din2_width => 32,
1108
- din3_width => 32,
1109
- din4_width => 32,
1110
- din5_width => 2,
1111
- dout_width => 32);
1112
- port map(
1113
- din1 => ap_const_lv32_31e,
1114
- din2 => ap_const_lv32_ffffff2a,
1115
- din3 => ap_const_lv32_31e,
1116
- din4 => ap_const_lv32_ffffff2a,
1117
- din5 => ih_assign_reg_644,
1118
- dout => tmp_37_fu_2127_p6);
1119
-
1120
-
1121
- ap_cs_fsm_assign_proc : process(ap_clk)
1122
- begin
1123
- if (ap_clk'event and ap_clk = '1') then
1124
- if (ap_rst = '1') then
1125
- ap_cs_fsm <= ap_st_fsm_state1;
1126
- else
1127
- ap_cs_fsm <= ap_ns_fsm;
1128
- end if;
1129
- end if;
1130
- end process;
1131
-
1132
- ap_reg_grp_upzero_fu_653_ap_start_assign_proc : process(ap_clk)
1133
- begin
1134
- if (ap_clk'event and ap_clk = '1') then
1135
- if (ap_rst = '1') then
1136
- ap_reg_grp_upzero_fu_653_ap_start <= ap_const_logic_0;
1137
- else
1138
- if (((ap_const_logic_1 = ap_cs_fsm_state26) or (ap_const_logic_1 = ap_cs_fsm_state38))) then
1139
- ap_reg_grp_upzero_fu_653_ap_start <= ap_const_logic_1;
1140
- elsif ((ap_const_logic_1 = grp_upzero_fu_653_ap_ready)) then
1141
- ap_reg_grp_upzero_fu_653_ap_start <= ap_const_logic_0;
1142
- end if;
1143
- end if;
1144
- end if;
1145
- end process;
1146
-
1147
- dlt_pn_rec_i1_reg_633_assign_proc : process(ap_clk)
1148
- begin
1149
- if (ap_clk'event and ap_clk = '1') then
1150
- if ((ap_const_logic_1 = ap_cs_fsm_state33)) then
1151
- dlt_pn_rec_i1_reg_633 <= p_01_rec_i1_reg_2986;
1152
- elsif ((ap_const_logic_1 = ap_cs_fsm_state30)) then
1153
- dlt_pn_rec_i1_reg_633 <= ap_const_lv3_0;
1154
- end if;
1155
- end if;
1156
- end process;
1157
-
1158
- dlt_pn_rec_i_reg_600_assign_proc : process(ap_clk)
1159
- begin
1160
- if (ap_clk'event and ap_clk = '1') then
1161
- if ((ap_const_logic_1 = ap_cs_fsm_state16)) then
1162
- dlt_pn_rec_i_reg_600 <= p_01_rec_i_reg_2800;
1163
- elsif ((ap_const_logic_1 = ap_cs_fsm_state13)) then
1164
- dlt_pn_rec_i_reg_600 <= ap_const_lv3_0;
1165
- end if;
1166
- end if;
1167
- end process;
1168
-
1169
- i_1_reg_579_assign_proc : process(ap_clk)
1170
- begin
1171
- if (ap_clk'event and ap_clk = '1') then
1172
- if ((ap_const_logic_1 = ap_cs_fsm_state11)) then
1173
- i_1_reg_579 <= i_5_reg_2775;
1174
- elsif ((ap_const_logic_1 = ap_cs_fsm_state9)) then
1175
- i_1_reg_579 <= ap_const_lv5_0;
1176
- end if;
1177
- end if;
1178
- end process;
1179
-
1180
- i_reg_537_assign_proc : process(ap_clk)
1181
- begin
1182
- if (ap_clk'event and ap_clk = '1') then
1183
- if ((ap_const_logic_1 = ap_cs_fsm_state7)) then
1184
- i_reg_537 <= i_4_reg_2681;
1185
- elsif ((ap_const_logic_1 = ap_cs_fsm_state3)) then
1186
- i_reg_537 <= ap_const_lv4_0;
1187
- end if;
1188
- end if;
1189
- end process;
1190
-
1191
- ih_assign_proc : process(ap_clk)
1192
- begin
1193
- if (ap_clk'event and ap_clk = '1') then
1194
- if ((ap_const_logic_1 = ap_cs_fsm_state36)) then
1195
- ih(1 downto 0) <= tmp_51_cast_cast_fu_2043_p3(1 downto 0);
1196
- elsif ((ap_const_logic_1 = ap_cs_fsm_state35)) then
1197
- ih(1 downto 0) <= tmp_46_cast_cast_fu_1978_p3(1 downto 0);
1198
- end if;
1199
- end if;
1200
- end process;
1201
-
1202
- ih_assign_reg_644_assign_proc : process(ap_clk)
1203
- begin
1204
- if (ap_clk'event and ap_clk = '1') then
1205
- if (((ap_const_lv1_0 = tmp_32_fu_2030_p2) and (ap_const_logic_1 = ap_cs_fsm_state35))) then
1206
- ih_assign_reg_644 <= tmp_29_fu_1970_p3;
1207
- elsif ((ap_const_logic_1 = ap_cs_fsm_state36)) then
1208
- ih_assign_reg_644 <= tmp_33_fu_2036_p3;
1209
- end if;
1210
- end if;
1211
- end process;
1212
-
1213
- mil_i_reg_611_assign_proc : process(ap_clk)
1214
- begin
1215
- if (ap_clk'event and ap_clk = '1') then
1216
- if (((ap_const_lv1_1 = tmp_39_i_fu_1202_p2) and (ap_const_lv1_1 = tmp_35_i_reg_2864) and (ap_const_logic_1 = ap_cs_fsm_state22))) then
1217
- mil_i_reg_611 <= mil_reg_2868;
1218
- elsif ((ap_const_logic_1 = ap_cs_fsm_state18)) then
1219
- mil_i_reg_611 <= ap_const_lv5_0;
1220
- end if;
1221
- end if;
1222
- end process;
1223
-
1224
- tqmf_ptr_0_pn_rec_reg_568_assign_proc : process(ap_clk)
1225
- begin
1226
- if (ap_clk'event and ap_clk = '1') then
1227
- if ((ap_const_logic_1 = ap_cs_fsm_state11)) then
1228
- tqmf_ptr_0_pn_rec_reg_568 <= tqmf_ptr1_0_rec_reg_2762;
1229
- elsif ((ap_const_logic_1 = ap_cs_fsm_state9)) then
1230
- tqmf_ptr_0_pn_rec_reg_568 <= ap_const_lv6_0;
1231
- end if;
1232
- end if;
1233
- end process;
1234
-
1235
- tqmf_ptr_0_rec_reg_526_assign_proc : process(ap_clk)
1236
- begin
1237
- if (ap_clk'event and ap_clk = '1') then
1238
- if ((ap_const_logic_1 = ap_cs_fsm_state7)) then
1239
- tqmf_ptr_0_rec_reg_526 <= phitmp_reg_2696;
1240
- elsif ((ap_const_logic_1 = ap_cs_fsm_state3)) then
1241
- tqmf_ptr_0_rec_reg_526 <= ap_const_lv5_2;
1242
- end if;
1243
- end if;
1244
- end process;
1245
-
1246
- xa1_reg_548_assign_proc : process(ap_clk)
1247
- begin
1248
- if (ap_clk'event and ap_clk = '1') then
1249
- if ((ap_const_logic_1 = ap_cs_fsm_state7)) then
1250
- xa1_reg_548 <= xa_2_fu_805_p2;
1251
- elsif ((ap_const_logic_1 = ap_cs_fsm_state3)) then
1252
- xa1_reg_548 <= xa_cast_fu_722_p1;
1253
- end if;
1254
- end if;
1255
- end process;
1256
-
1257
- xb1_reg_558_assign_proc : process(ap_clk)
1258
- begin
1259
- if (ap_clk'event and ap_clk = '1') then
1260
- if ((ap_const_logic_1 = ap_cs_fsm_state7)) then
1261
- xb1_reg_558 <= xb_2_fu_814_p2;
1262
- elsif ((ap_const_logic_1 = ap_cs_fsm_state3)) then
1263
- xb1_reg_558 <= xb_cast_fu_736_p1;
1264
- end if;
1265
- end if;
1266
- end process;
1267
-
1268
- zl1_i1_reg_623_assign_proc : process(ap_clk)
1269
- begin
1270
- if (ap_clk'event and ap_clk = '1') then
1271
- if ((ap_const_logic_1 = ap_cs_fsm_state33)) then
1272
- zl1_i1_reg_623 <= zl_3_fu_1880_p2;
1273
- elsif ((ap_const_logic_1 = ap_cs_fsm_state30)) then
1274
- zl1_i1_reg_623 <= zl_2_fu_1798_p2;
1275
- end if;
1276
- end if;
1277
- end process;
1278
-
1279
- zl1_i_reg_590_assign_proc : process(ap_clk)
1280
- begin
1281
- if (ap_clk'event and ap_clk = '1') then
1282
- if ((ap_const_logic_1 = ap_cs_fsm_state16)) then
1283
- zl1_i_reg_590 <= zl_1_fu_1074_p2;
1284
- elsif ((ap_const_logic_1 = ap_cs_fsm_state13)) then
1285
- zl1_i_reg_590 <= zl_fu_992_p2;
1286
- end if;
1287
- end if;
1288
- end process;
1289
-
1290
- process(ap_clk)
1291
- begin
1292
- if (ap_clk'event and ap_clk = '1') then
1293
- if ((ap_const_logic_1 = ap_cs_fsm_state42)) then
1294
- ah1 <= apl1_7_cast_fu_2586_p1;
1295
- ah2 <= apl2_assign_1_cast_fu_2524_p1;
1296
- rh1 <= tmp_45_fu_2604_p2;
1297
- rh2 <= rh1;
1298
- end if;
1299
- end if;
1300
- end process;
1301
-
1302
- process(ap_clk)
1303
- begin
1304
- if (ap_clk'event and ap_clk = '1') then
1305
- if ((ap_const_logic_1 = ap_cs_fsm_state30)) then
1306
- al1 <= apl1_3_cast_fu_1750_p1;
1307
- al2 <= apl2_assign_cast_fu_1688_p1;
1308
- rlt1 <= tmp_25_fu_1768_p2;
1309
- rlt2 <= rlt1;
1310
- end if;
1311
- end if;
1312
- end process;
1313
-
1314
- process(ap_clk)
1315
- begin
1316
- if (ap_clk'event and ap_clk = '1') then
1317
- if ((ap_const_logic_1 = ap_cs_fsm_state40)) then
1318
- apl1_4_reg_3111 <= apl1_4_fu_2488_p2;
1319
- apl2_1_reg_3105 <= apl2_1_fu_2447_p2;
1320
- end if;
1321
- end if;
1322
- end process;
1323
-
1324
- process(ap_clk)
1325
- begin
1326
- if (ap_clk'event and ap_clk = '1') then
1327
- if ((ap_const_logic_1 = ap_cs_fsm_state28)) then
1328
- apl1_reg_2959 <= apl1_fu_1652_p2;
1329
- apl2_reg_2953 <= apl2_fu_1611_p2;
1330
- end if;
1331
- end if;
1332
- end process;
1333
-
1334
- process(ap_clk)
1335
- begin
1336
- if (ap_clk'event and ap_clk = '1') then
1337
- if ((ap_const_logic_1 = ap_cs_fsm_state41)) then
1338
- apl2_assign_1_reg_3117 <= apl2_assign_1_fu_2516_p3;
1339
- end if;
1340
- end if;
1341
- end process;
1342
-
1343
- process(ap_clk)
1344
- begin
1345
- if (ap_clk'event and ap_clk = '1') then
1346
- if ((ap_const_logic_1 = ap_cs_fsm_state29)) then
1347
- apl2_assign_reg_2965 <= apl2_assign_fu_1680_p3;
1348
- delay_bph_load_reg_2971 <= delay_bph_q0;
1349
- delay_dhx_load_reg_2976 <= delay_dhx_q0;
1350
- end if;
1351
- end if;
1352
- end process;
1353
-
1354
- process(ap_clk)
1355
- begin
1356
- if (ap_clk'event and ap_clk = '1') then
1357
- if ((ap_const_logic_1 = ap_cs_fsm_state20)) then
1358
- decis_levl_load_reg_2878 <= decis_levl_q0;
1359
- end if;
1360
- end if;
1361
- end process;
1362
-
1363
- process(ap_clk)
1364
- begin
1365
- if (ap_clk'event and ap_clk = '1') then
1366
- if ((ap_const_logic_1 = ap_cs_fsm_state12)) then
1367
- delay_bpl_load_reg_2785 <= delay_bpl_q0;
1368
- delay_dltx_load_reg_2790 <= delay_dltx_q0;
1369
- end if;
1370
- end if;
1371
- end process;
1372
-
1373
- process(ap_clk)
1374
- begin
1375
- if (ap_clk'event and ap_clk = '1') then
1376
- if (((grp_upzero_fu_653_ap_done = ap_const_logic_1) and (ap_const_logic_1 = ap_cs_fsm_state39))) then
1377
- deth(14 downto 3) <= tmp_34_i1_cast_fu_2267_p1(14 downto 3);
1378
- ph1 <= ph;
1379
- ph2 <= ph1;
1380
- tmp_19_i1_reg_3100 <= tmp_19_i1_fu_2315_p2;
1381
- tmp_59_reg_3094 <= tmp_15_i1_fu_2297_p2(63 downto 63);
1382
- end if;
1383
- end if;
1384
- end process;
1385
-
1386
- process(ap_clk)
1387
- begin
1388
- if (ap_clk'event and ap_clk = '1') then
1389
- if ((ap_const_logic_1 = ap_cs_fsm_state26)) then
1390
- detl(14 downto 3) <= tmp_34_i_cast_fu_1421_p1(14 downto 3);
1391
- plt <= tmp_21_fu_1431_p2;
1392
- end if;
1393
- end if;
1394
- end process;
1395
-
1396
- process(ap_clk)
1397
- begin
1398
- if (ap_clk'event and ap_clk = '1') then
1399
- if ((ap_const_logic_1 = ap_cs_fsm_state37)) then
1400
- dh <= tmp_35_fu_2074_p2(46 downto 15);
1401
- tmp_36_reg_3068 <= tmp_35_fu_2074_p2(46 downto 15);
1402
- tmp_48_i_reg_3079 <= tmp_48_i_fu_2187_p2;
1403
- tmp_58_reg_3074 <= tmp_58_fu_2183_p1;
1404
- end if;
1405
- end if;
1406
- end process;
1407
-
1408
- process(ap_clk)
1409
- begin
1410
- if (ap_clk'event and ap_clk = '1') then
1411
- if ((ap_const_logic_1 = ap_cs_fsm_state25)) then
1412
- dlt <= tmp_18_fu_1336_p2(46 downto 15);
1413
- nbl(14 downto 0) <= nbl_assign_2_cast_fu_1363_p1(14 downto 0);
1414
- tmp_19_reg_2926 <= tmp_18_fu_1336_p2(46 downto 15);
1415
- wd2_cast_reg_2932 <= nbl_assign_2_fu_1357_p3(14 downto 11);
1416
- end if;
1417
- end if;
1418
- end process;
1419
-
1420
- process(ap_clk)
1421
- begin
1422
- if (ap_clk'event and ap_clk = '1') then
1423
- if ((ap_const_logic_1 = ap_cs_fsm_state5)) then
1424
- h_load_reg_2701 <= h_q0;
1425
- h_ptr_load_reg_2706 <= h_q1;
1426
- end if;
1427
- end if;
1428
- end process;
1429
-
1430
- process(ap_clk)
1431
- begin
1432
- if (ap_clk'event and ap_clk = '1') then
1433
- if ((ap_const_logic_1 = ap_cs_fsm_state4)) then
1434
- i_4_reg_2681 <= i_4_fu_752_p2;
1435
- tqmf_addr_reg_2673 <= tqmf_ptr_0_rec_cast_fu_740_p1(5 - 1 downto 0);
1436
- end if;
1437
- end if;
1438
- end process;
1439
-
1440
- process(ap_clk)
1441
- begin
1442
- if (ap_clk'event and ap_clk = '1') then
1443
- if ((ap_const_logic_1 = ap_cs_fsm_state10)) then
1444
- i_5_reg_2775 <= i_5_fu_940_p2;
1445
- tqmf_addr_2_reg_2757 <= p_sum_cast_fu_912_p1(5 - 1 downto 0);
1446
- tqmf_ptr1_0_rec_reg_2762 <= tqmf_ptr1_0_rec_fu_917_p2;
1447
- end if;
1448
- end if;
1449
- end process;
1450
-
1451
- process(ap_clk)
1452
- begin
1453
- if (ap_clk'event and ap_clk = '1') then
1454
- if ((ap_const_logic_1 = ap_cs_fsm_state23)) then
1455
- il(5 downto 0) <= il_assign_cast_fu_1219_p1(5 downto 0);
1456
- end if;
1457
- end if;
1458
- end process;
1459
-
1460
- process(ap_clk)
1461
- begin
1462
- if (ap_clk'event and ap_clk = '1') then
1463
- if ((ap_const_logic_1 = ap_cs_fsm_state19)) then
1464
- mil_reg_2868 <= mil_fu_1173_p2;
1465
- tmp_35_i_reg_2864 <= tmp_35_i_fu_1167_p2;
1466
- end if;
1467
- end if;
1468
- end process;
1469
-
1470
- process(ap_clk)
1471
- begin
1472
- if (ap_clk'event and ap_clk = '1') then
1473
- if ((ap_const_logic_1 = ap_cs_fsm_state18)) then
1474
- n_assign_1_reg_2853 <= n_assign_1_fu_1155_p3;
1475
- sl <= tmp_13_fu_1115_p2;
1476
- tmp_27_reg_2848 <= el_assign_fu_1126_p2(31 downto 31);
1477
- tmp_50_i_reg_2843 <= zl1_i_reg_590(45 downto 14);
1478
- tmp_i1_cast_reg_2858(14 downto 3) <= tmp_i1_cast_fu_1163_p1(14 downto 3);
1479
- end if;
1480
- end if;
1481
- end process;
1482
-
1483
- process(ap_clk)
1484
- begin
1485
- if (ap_clk'event and ap_clk = '1') then
1486
- if ((ap_const_logic_1 = ap_cs_fsm_state38)) then
1487
- nbh(14 downto 0) <= nbl_assign_3_cast_fu_2199_p1(14 downto 0);
1488
- ph <= tmp_40_fu_2234_p2;
1489
- wd2_4_cast_reg_3084 <= nbl_assign_3_fu_2193_p3(14 downto 11);
1490
- end if;
1491
- end if;
1492
- end process;
1493
-
1494
- process(ap_clk)
1495
- begin
1496
- if (ap_clk'event and ap_clk = '1') then
1497
- if ((ap_const_logic_1 = ap_cs_fsm_state31)) then
1498
- p_01_rec_i1_reg_2986 <= p_01_rec_i1_fu_1804_p2;
1499
- end if;
1500
- end if;
1501
- end process;
1502
-
1503
- process(ap_clk)
1504
- begin
1505
- if (ap_clk'event and ap_clk = '1') then
1506
- if ((ap_const_logic_1 = ap_cs_fsm_state14)) then
1507
- p_01_rec_i_reg_2800 <= p_01_rec_i_fu_998_p2;
1508
- end if;
1509
- end if;
1510
- end process;
1511
-
1512
- process(ap_clk)
1513
- begin
1514
- if (ap_clk'event and ap_clk = '1') then
1515
- if (((exitcond2_fu_746_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_cs_fsm_state4))) then
1516
- phitmp_reg_2696 <= phitmp_fu_770_p2;
1517
- end if;
1518
- end if;
1519
- end process;
1520
-
1521
- process(ap_clk)
1522
- begin
1523
- if (ap_clk'event and ap_clk = '1') then
1524
- if (((ap_const_lv1_1 = exitcond5_fu_1010_p2) and (ap_const_logic_1 = ap_cs_fsm_state14))) then
1525
- pl_1_reg_2818(63 downto 1) <= pl_1_fu_1034_p2(63 downto 1);
1526
- tmp_56_i_reg_2823(63 downto 1) <= tmp_56_i_fu_1054_p2(63 downto 1);
1527
- end if;
1528
- end if;
1529
- end process;
1530
-
1531
- process(ap_clk)
1532
- begin
1533
- if (ap_clk'event and ap_clk = '1') then
1534
- if (((ap_const_lv1_1 = exitcond4_fu_1816_p2) and (ap_const_logic_1 = ap_cs_fsm_state31))) then
1535
- pl_4_reg_3004 <= pl_4_fu_1840_p2;
1536
- tmp_56_i1_reg_3009 <= tmp_56_i1_fu_1860_p2;
1537
- end if;
1538
- end if;
1539
- end process;
1540
-
1541
- process(ap_clk)
1542
- begin
1543
- if (ap_clk'event and ap_clk = '1') then
1544
- if (((grp_upzero_fu_653_ap_done = ap_const_logic_1) and (ap_const_logic_1 = ap_cs_fsm_state27))) then
1545
- plt1 <= plt;
1546
- plt2 <= plt1;
1547
- tmp_19_i_reg_2948 <= tmp_19_i_fu_1479_p2;
1548
- tmp_38_reg_2942 <= tmp_15_i_fu_1461_p2(63 downto 63);
1549
- end if;
1550
- end if;
1551
- end process;
1552
-
1553
- process(ap_clk)
1554
- begin
1555
- if (ap_clk'event and ap_clk = '1') then
1556
- if ((ap_const_logic_1 = ap_cs_fsm_state24)) then
1557
- qq4_code4_table_load_reg_2911 <= qq4_code4_table_q0;
1558
- tmp_30_reg_2916 <= tmp_30_fu_1323_p1;
1559
- tmp_45_i_reg_2921 <= tmp_45_i_fu_1327_p2;
1560
- end if;
1561
- end if;
1562
- end process;
1563
-
1564
- process(ap_clk)
1565
- begin
1566
- if (ap_clk'event and ap_clk = '1') then
1567
- if (((ap_const_logic_1 = ap_cs_fsm_state5) or (ap_const_logic_1 = ap_cs_fsm_state2))) then
1568
- reg_688 <= tqmf_q0;
1569
- end if;
1570
- end if;
1571
- end process;
1572
-
1573
- process(ap_clk)
1574
- begin
1575
- if (ap_clk'event and ap_clk = '1') then
1576
- if ((ap_const_logic_1 = ap_cs_fsm_state34)) then
1577
- sh <= tmp_26_fu_1921_p2;
1578
- tmp_26_reg_3029 <= tmp_26_fu_1921_p2;
1579
- tmp_31_reg_3039(42 downto 3) <= tmp_31_fu_1941_p2(42 downto 3);
1580
- tmp_50_i1_reg_3024 <= zl1_i1_reg_623(45 downto 14);
1581
- end if;
1582
- end if;
1583
- end process;
1584
-
1585
- process(ap_clk)
1586
- begin
1587
- if (ap_clk'event and ap_clk = '1') then
1588
- if ((ap_const_logic_1 = ap_cs_fsm_state6)) then
1589
- tmp_1_reg_2716 <= tmp_1_fu_796_p2;
1590
- tmp_9_reg_2711 <= tmp_9_fu_783_p2;
1591
- end if;
1592
- end if;
1593
- end process;
1594
-
1595
- process(ap_clk)
1596
- begin
1597
- if (ap_clk'event and ap_clk = '1') then
1598
- if (((exitcond_fu_934_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_cs_fsm_state10))) then
1599
- tmp_3_reg_2780 <= tmp_4_fu_946_p2(46 downto 15);
1600
- xh <= tmp_10_fu_966_p2(46 downto 15);
1601
- end if;
1602
- end if;
1603
- end process;
1604
-
1605
- process(ap_clk)
1606
- begin
1607
- if (ap_clk'event and ap_clk = '1') then
1608
- if ((ap_const_logic_1 = ap_cs_fsm_state35)) then
1609
- tmp_47_cast1_reg_3055(14 downto 3) <= tmp_47_cast1_fu_1992_p1(14 downto 3);
1610
- tmp_54_reg_3044 <= n_assign_2_fu_1951_p2(31 downto 31);
1611
- end if;
1612
- end if;
1613
- end process;
1614
-
1615
- process(ap_clk)
1616
- begin
1617
- if (ap_clk'event and ap_clk = '1') then
1618
- if ((ap_const_logic_1 = ap_cs_fsm_state32)) then
1619
- tmp_53_i1_reg_3014 <= tmp_53_i1_fu_1874_p2;
1620
- end if;
1621
- end if;
1622
- end process;
1623
-
1624
- process(ap_clk)
1625
- begin
1626
- if (ap_clk'event and ap_clk = '1') then
1627
- if ((ap_const_logic_1 = ap_cs_fsm_state15)) then
1628
- tmp_53_i_reg_2828 <= tmp_53_i_fu_1068_p2;
1629
- end if;
1630
- end if;
1631
- end process;
1632
-
1633
- process(ap_clk)
1634
- begin
1635
- if (ap_clk'event and ap_clk = '1') then
1636
- if ((ap_const_logic_1 = ap_cs_fsm_state17)) then
1637
- tmp_58_i_reg_2838 <= pl_2_fu_1079_p2(46 downto 15);
1638
- end if;
1639
- end if;
1640
- end process;
1641
-
1642
- process(ap_clk)
1643
- begin
1644
- if (ap_clk'event and ap_clk = '1') then
1645
- if ((ap_const_logic_1 = ap_cs_fsm_state21)) then
1646
- tmp_5_reg_2883 <= tmp_38_i_fu_1187_p2(46 downto 15);
1647
- end if;
1648
- end if;
1649
- end process;
1650
-
1651
- process(ap_clk)
1652
- begin
1653
- if (ap_clk'event and ap_clk = '1') then
1654
- if ((ap_const_logic_1 = ap_cs_fsm_state8)) then
1655
- tmp_s_reg_2731 <= tmp_s_fu_824_p2;
1656
- end if;
1657
- end if;
1658
- end process;
1659
-
1660
- process(ap_clk)
1661
- begin
1662
- if (ap_clk'event and ap_clk = '1') then
1663
- if ((ap_const_logic_1 = ap_cs_fsm_state9)) then
1664
- xa_1_cast_reg_2742 <= xa_1_cast_fu_846_p2;
1665
- xa_1_reg_2737 <= xa_1_fu_840_p2;
1666
- xb_1_cast_reg_2752 <= xb_1_cast_fu_900_p2;
1667
- xb_1_reg_2747 <= xb_1_fu_894_p2;
1668
- end if;
1669
- end if;
1670
- end process;
1671
- detl(2 downto 0) <= "000";
1672
- detl(31 downto 15) <= "00000000000000000";
1673
- il(31 downto 6) <= "00000000000000000000000000";
1674
- nbl(31 downto 15) <= "00000000000000000";
1675
- ih(31 downto 2) <= "000000000000000000000000000000";
1676
- deth(2 downto 0) <= "000";
1677
- deth(31 downto 15) <= "00000000000000000";
1678
- nbh(31 downto 15) <= "00000000000000000";
1679
- pl_1_reg_2818(0) <= '0';
1680
- tmp_56_i_reg_2823(0) <= '0';
1681
- tmp_i1_cast_reg_2858(2 downto 0) <= "000";
1682
- tmp_i1_cast_reg_2858(46 downto 15) <= "00000000000000000000000000000000";
1683
- tmp_31_reg_3039(2 downto 0) <= "000";
1684
- tmp_47_cast1_reg_3055(2 downto 0) <= "000";
1685
- tmp_47_cast1_reg_3055(46 downto 15) <= "00000000000000000000000000000000";
1686
-
1687
- ap_ns_fsm_assign_proc : process(ap_start,ap_cs_fsm,ap_cs_fsm_state1,ap_cs_fsm_state4,exitcond2_fu_746_p2,ap_cs_fsm_state10,exitcond_fu_934_p2,ap_cs_fsm_state14,exitcond5_fu_1010_p2,tmp_35_i_fu_1167_p2,tmp_35_i_reg_2864,ap_cs_fsm_state19,ap_cs_fsm_state22,tmp_39_i_fu_1202_p2,ap_cs_fsm_state27,grp_upzero_fu_653_ap_done,ap_cs_fsm_state31,exitcond4_fu_1816_p2,ap_cs_fsm_state35,ap_cs_fsm_state39,tmp_32_fu_2030_p2)
1688
- begin
1689
- case ap_cs_fsm is
1690
- when ap_st_fsm_state1 =>
1691
- if (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_cs_fsm_state1))) then
1692
- ap_ns_fsm <= ap_st_fsm_state2;
1693
- else
1694
- ap_ns_fsm <= ap_st_fsm_state1;
1695
- end if;
1696
- when ap_st_fsm_state2 =>
1697
- ap_ns_fsm <= ap_st_fsm_state3;
1698
- when ap_st_fsm_state3 =>
1699
- ap_ns_fsm <= ap_st_fsm_state4;
1700
- when ap_st_fsm_state4 =>
1701
- if (((exitcond2_fu_746_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_cs_fsm_state4))) then
1702
- ap_ns_fsm <= ap_st_fsm_state8;
1703
- else
1704
- ap_ns_fsm <= ap_st_fsm_state5;
1705
- end if;
1706
- when ap_st_fsm_state5 =>
1707
- ap_ns_fsm <= ap_st_fsm_state6;
1708
- when ap_st_fsm_state6 =>
1709
- ap_ns_fsm <= ap_st_fsm_state7;
1710
- when ap_st_fsm_state7 =>
1711
- ap_ns_fsm <= ap_st_fsm_state4;
1712
- when ap_st_fsm_state8 =>
1713
- ap_ns_fsm <= ap_st_fsm_state9;
1714
- when ap_st_fsm_state9 =>
1715
- ap_ns_fsm <= ap_st_fsm_state10;
1716
- when ap_st_fsm_state10 =>
1717
- if (((exitcond_fu_934_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_cs_fsm_state10))) then
1718
- ap_ns_fsm <= ap_st_fsm_state12;
1719
- else
1720
- ap_ns_fsm <= ap_st_fsm_state11;
1721
- end if;
1722
- when ap_st_fsm_state11 =>
1723
- ap_ns_fsm <= ap_st_fsm_state10;
1724
- when ap_st_fsm_state12 =>
1725
- ap_ns_fsm <= ap_st_fsm_state13;
1726
- when ap_st_fsm_state13 =>
1727
- ap_ns_fsm <= ap_st_fsm_state14;
1728
- when ap_st_fsm_state14 =>
1729
- if (((ap_const_lv1_1 = exitcond5_fu_1010_p2) and (ap_const_logic_1 = ap_cs_fsm_state14))) then
1730
- ap_ns_fsm <= ap_st_fsm_state17;
1731
- else
1732
- ap_ns_fsm <= ap_st_fsm_state15;
1733
- end if;
1734
- when ap_st_fsm_state15 =>
1735
- ap_ns_fsm <= ap_st_fsm_state16;
1736
- when ap_st_fsm_state16 =>
1737
- ap_ns_fsm <= ap_st_fsm_state14;
1738
- when ap_st_fsm_state17 =>
1739
- ap_ns_fsm <= ap_st_fsm_state18;
1740
- when ap_st_fsm_state18 =>
1741
- ap_ns_fsm <= ap_st_fsm_state19;
1742
- when ap_st_fsm_state19 =>
1743
- if (((ap_const_lv1_0 = tmp_35_i_fu_1167_p2) and (ap_const_logic_1 = ap_cs_fsm_state19))) then
1744
- ap_ns_fsm <= ap_st_fsm_state22;
1745
- else
1746
- ap_ns_fsm <= ap_st_fsm_state20;
1747
- end if;
1748
- when ap_st_fsm_state20 =>
1749
- ap_ns_fsm <= ap_st_fsm_state21;
1750
- when ap_st_fsm_state21 =>
1751
- ap_ns_fsm <= ap_st_fsm_state22;
1752
- when ap_st_fsm_state22 =>
1753
- if (((ap_const_logic_1 = ap_cs_fsm_state22) and ((ap_const_lv1_0 = tmp_39_i_fu_1202_p2) or (ap_const_lv1_0 = tmp_35_i_reg_2864)))) then
1754
- ap_ns_fsm <= ap_st_fsm_state23;
1755
- else
1756
- ap_ns_fsm <= ap_st_fsm_state19;
1757
- end if;
1758
- when ap_st_fsm_state23 =>
1759
- ap_ns_fsm <= ap_st_fsm_state24;
1760
- when ap_st_fsm_state24 =>
1761
- ap_ns_fsm <= ap_st_fsm_state25;
1762
- when ap_st_fsm_state25 =>
1763
- ap_ns_fsm <= ap_st_fsm_state26;
1764
- when ap_st_fsm_state26 =>
1765
- ap_ns_fsm <= ap_st_fsm_state27;
1766
- when ap_st_fsm_state27 =>
1767
- if (((grp_upzero_fu_653_ap_done = ap_const_logic_1) and (ap_const_logic_1 = ap_cs_fsm_state27))) then
1768
- ap_ns_fsm <= ap_st_fsm_state28;
1769
- else
1770
- ap_ns_fsm <= ap_st_fsm_state27;
1771
- end if;
1772
- when ap_st_fsm_state28 =>
1773
- ap_ns_fsm <= ap_st_fsm_state29;
1774
- when ap_st_fsm_state29 =>
1775
- ap_ns_fsm <= ap_st_fsm_state30;
1776
- when ap_st_fsm_state30 =>
1777
- ap_ns_fsm <= ap_st_fsm_state31;
1778
- when ap_st_fsm_state31 =>
1779
- if (((ap_const_lv1_1 = exitcond4_fu_1816_p2) and (ap_const_logic_1 = ap_cs_fsm_state31))) then
1780
- ap_ns_fsm <= ap_st_fsm_state34;
1781
- else
1782
- ap_ns_fsm <= ap_st_fsm_state32;
1783
- end if;
1784
- when ap_st_fsm_state32 =>
1785
- ap_ns_fsm <= ap_st_fsm_state33;
1786
- when ap_st_fsm_state33 =>
1787
- ap_ns_fsm <= ap_st_fsm_state31;
1788
- when ap_st_fsm_state34 =>
1789
- ap_ns_fsm <= ap_st_fsm_state35;
1790
- when ap_st_fsm_state35 =>
1791
- if (((ap_const_lv1_0 = tmp_32_fu_2030_p2) and (ap_const_logic_1 = ap_cs_fsm_state35))) then
1792
- ap_ns_fsm <= ap_st_fsm_state37;
1793
- else
1794
- ap_ns_fsm <= ap_st_fsm_state36;
1795
- end if;
1796
- when ap_st_fsm_state36 =>
1797
- ap_ns_fsm <= ap_st_fsm_state37;
1798
- when ap_st_fsm_state37 =>
1799
- ap_ns_fsm <= ap_st_fsm_state38;
1800
- when ap_st_fsm_state38 =>
1801
- ap_ns_fsm <= ap_st_fsm_state39;
1802
- when ap_st_fsm_state39 =>
1803
- if (((grp_upzero_fu_653_ap_done = ap_const_logic_1) and (ap_const_logic_1 = ap_cs_fsm_state39))) then
1804
- ap_ns_fsm <= ap_st_fsm_state40;
1805
- else
1806
- ap_ns_fsm <= ap_st_fsm_state39;
1807
- end if;
1808
- when ap_st_fsm_state40 =>
1809
- ap_ns_fsm <= ap_st_fsm_state41;
1810
- when ap_st_fsm_state41 =>
1811
- ap_ns_fsm <= ap_st_fsm_state42;
1812
- when ap_st_fsm_state42 =>
1813
- ap_ns_fsm <= ap_st_fsm_state1;
1814
- when others =>
1815
- ap_ns_fsm <= "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx";
1816
- end case;
1817
- end process;
1818
- ap_cs_fsm_state1 <= ap_cs_fsm(0);
1819
- ap_cs_fsm_state10 <= ap_cs_fsm(9);
1820
- ap_cs_fsm_state11 <= ap_cs_fsm(10);
1821
- ap_cs_fsm_state12 <= ap_cs_fsm(11);
1822
- ap_cs_fsm_state13 <= ap_cs_fsm(12);
1823
- ap_cs_fsm_state14 <= ap_cs_fsm(13);
1824
- ap_cs_fsm_state15 <= ap_cs_fsm(14);
1825
- ap_cs_fsm_state16 <= ap_cs_fsm(15);
1826
- ap_cs_fsm_state17 <= ap_cs_fsm(16);
1827
- ap_cs_fsm_state18 <= ap_cs_fsm(17);
1828
- ap_cs_fsm_state19 <= ap_cs_fsm(18);
1829
- ap_cs_fsm_state2 <= ap_cs_fsm(1);
1830
- ap_cs_fsm_state20 <= ap_cs_fsm(19);
1831
- ap_cs_fsm_state21 <= ap_cs_fsm(20);
1832
- ap_cs_fsm_state22 <= ap_cs_fsm(21);
1833
- ap_cs_fsm_state23 <= ap_cs_fsm(22);
1834
- ap_cs_fsm_state24 <= ap_cs_fsm(23);
1835
- ap_cs_fsm_state25 <= ap_cs_fsm(24);
1836
- ap_cs_fsm_state26 <= ap_cs_fsm(25);
1837
- ap_cs_fsm_state27 <= ap_cs_fsm(26);
1838
- ap_cs_fsm_state28 <= ap_cs_fsm(27);
1839
- ap_cs_fsm_state29 <= ap_cs_fsm(28);
1840
- ap_cs_fsm_state3 <= ap_cs_fsm(2);
1841
- ap_cs_fsm_state30 <= ap_cs_fsm(29);
1842
- ap_cs_fsm_state31 <= ap_cs_fsm(30);
1843
- ap_cs_fsm_state32 <= ap_cs_fsm(31);
1844
- ap_cs_fsm_state33 <= ap_cs_fsm(32);
1845
- ap_cs_fsm_state34 <= ap_cs_fsm(33);
1846
- ap_cs_fsm_state35 <= ap_cs_fsm(34);
1847
- ap_cs_fsm_state36 <= ap_cs_fsm(35);
1848
- ap_cs_fsm_state37 <= ap_cs_fsm(36);
1849
- ap_cs_fsm_state38 <= ap_cs_fsm(37);
1850
- ap_cs_fsm_state39 <= ap_cs_fsm(38);
1851
- ap_cs_fsm_state4 <= ap_cs_fsm(3);
1852
- ap_cs_fsm_state40 <= ap_cs_fsm(39);
1853
- ap_cs_fsm_state41 <= ap_cs_fsm(40);
1854
- ap_cs_fsm_state42 <= ap_cs_fsm(41);
1855
- ap_cs_fsm_state5 <= ap_cs_fsm(4);
1856
- ap_cs_fsm_state6 <= ap_cs_fsm(5);
1857
- ap_cs_fsm_state7 <= ap_cs_fsm(6);
1858
- ap_cs_fsm_state8 <= ap_cs_fsm(7);
1859
- ap_cs_fsm_state9 <= ap_cs_fsm(8);
1860
-
1861
- ap_done_assign_proc : process(ap_cs_fsm_state42)
1862
- begin
1863
- if ((ap_const_logic_1 = ap_cs_fsm_state42)) then
1864
- ap_done <= ap_const_logic_1;
1865
- else
1866
- ap_done <= ap_const_logic_0;
1867
- end if;
1868
- end process;
1869
-
1870
- ap_idle_assign_proc : process(ap_start,ap_cs_fsm_state1)
1871
- begin
1872
- if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_cs_fsm_state1))) then
1873
- ap_idle <= ap_const_logic_1;
1874
- else
1875
- ap_idle <= ap_const_logic_0;
1876
- end if;
1877
- end process;
1878
-
1879
- ap_ready_assign_proc : process(ap_cs_fsm_state42)
1880
- begin
1881
- if ((ap_const_logic_1 = ap_cs_fsm_state42)) then
1882
- ap_ready <= ap_const_logic_1;
1883
- else
1884
- ap_ready <= ap_const_logic_0;
1885
- end if;
1886
- end process;
1887
- ap_return <= (tmp_65_fu_2636_p2 or il);
1888
- apl1_2_cast_fu_1732_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(apl1_2_fu_1726_p2),32));
1889
- apl1_2_fu_1726_p2 <= std_logic_vector(unsigned(ap_const_lv16_0) - unsigned(apl1_8_cast1_fu_1702_p1));
1890
- apl1_3_cast_fu_1750_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(apl1_3_fu_1742_p3),32));
1891
- apl1_3_fu_1742_p3 <= apl1_2_fu_1726_p2 when (tmp_31_i_fu_1736_p2(0) = '1') else tmp_51_fu_1722_p1;
1892
- apl1_4_fu_2488_p2 <= std_logic_vector(unsigned(apl_v_i1_cast_cast_fu_2481_p3) + unsigned(tmp_29_i1_fu_2471_p4));
1893
- apl1_6_cast_fu_2568_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(apl1_6_fu_2562_p2),32));
1894
- apl1_6_fu_2562_p2 <= std_logic_vector(unsigned(ap_const_lv16_0) - unsigned(apl1_9_cast8_fu_2538_p1));
1895
- apl1_7_cast_fu_2586_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(apl1_7_fu_2578_p3),32));
1896
- apl1_7_fu_2578_p3 <= apl1_6_fu_2562_p2 when (tmp_31_i1_fu_2572_p2(0) = '1') else tmp_64_fu_2558_p1;
1897
- apl1_8_cast1_fu_1702_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(apl1_8_fu_1697_p2),16));
1898
- apl1_8_cast_fu_1706_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(apl1_8_fu_1697_p2),32));
1899
- apl1_8_fu_1697_p2 <= std_logic_vector(unsigned(ap_const_lv15_3c00) - unsigned(apl2_assign_reg_2965));
1900
- apl1_9_cast8_fu_2538_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(apl1_9_fu_2533_p2),16));
1901
- apl1_9_cast_fu_2542_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(apl1_9_fu_2533_p2),32));
1902
- apl1_9_fu_2533_p2 <= std_logic_vector(unsigned(ap_const_lv15_3c00) - unsigned(apl2_assign_1_reg_3117));
1903
- apl1_fu_1652_p2 <= std_logic_vector(unsigned(apl_v_i_cast_cast_fu_1645_p3) + unsigned(tmp_29_i_fu_1635_p4));
1904
- apl2_1_fu_2447_p2 <= std_logic_vector(signed(tmp7_cast_fu_2443_p1) + signed(tmp_4_i1_fu_2419_p4));
1905
- apl2_assign_1_cast_fu_2524_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(apl2_assign_1_reg_3117),32));
1906
- apl2_assign_1_fu_2516_p3 <= ap_const_lv15_5000 when (tmp_24_i1_fu_2510_p2(0) = '1') else tmp_63_fu_2506_p1;
1907
- apl2_assign_cast_fu_1688_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(apl2_assign_reg_2965),32));
1908
- apl2_assign_fu_1680_p3 <= ap_const_lv15_5000 when (tmp_24_i_fu_1674_p2(0) = '1') else tmp_50_fu_1670_p1;
1909
- apl2_fu_1611_p2 <= std_logic_vector(signed(tmp6_cast_fu_1607_p1) + signed(tmp_4_i_fu_1583_p4));
1910
- apl_v_i1_cast_cast_fu_2481_p3 <= ap_const_lv32_ffffff40 when (tmp_59_reg_3094(0) = '1') else ap_const_lv32_c0;
1911
- apl_v_i_cast_cast_fu_1645_p3 <= ap_const_lv32_ffffff40 when (tmp_38_reg_2942(0) = '1') else ap_const_lv32_c0;
1912
- decis_fu_2004_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(tmp_11_fu_1995_p4),32));
1913
- decis_levl_address0 <= tmp_36_i_fu_1179_p1(5 - 1 downto 0);
1914
-
1915
- decis_levl_ce0_assign_proc : process(ap_cs_fsm_state19)
1916
- begin
1917
- if ((ap_const_logic_1 = ap_cs_fsm_state19)) then
1918
- decis_levl_ce0 <= ap_const_logic_1;
1919
- else
1920
- decis_levl_ce0 <= ap_const_logic_0;
1921
- end if;
1922
- end process;
1923
-
1924
- delay_bph_address0_assign_proc : process(ap_cs_fsm_state28,ap_cs_fsm_state31,ap_cs_fsm_state39,grp_upzero_fu_653_bli_address0,p_01_rec_i1_cast_fu_1810_p1)
1925
- begin
1926
- if ((ap_const_logic_1 = ap_cs_fsm_state31)) then
1927
- delay_bph_address0 <= p_01_rec_i1_cast_fu_1810_p1(3 - 1 downto 0);
1928
- elsif ((ap_const_logic_1 = ap_cs_fsm_state28)) then
1929
- delay_bph_address0 <= ap_const_lv3_0;
1930
- elsif ((ap_const_logic_1 = ap_cs_fsm_state39)) then
1931
- delay_bph_address0 <= grp_upzero_fu_653_bli_address0;
1932
- else
1933
- delay_bph_address0 <= "xxx";
1934
- end if;
1935
- end process;
1936
-
1937
- delay_bph_ce0_assign_proc : process(ap_cs_fsm_state28,ap_cs_fsm_state31,ap_cs_fsm_state39,grp_upzero_fu_653_bli_ce0)
1938
- begin
1939
- if (((ap_const_logic_1 = ap_cs_fsm_state31) or (ap_const_logic_1 = ap_cs_fsm_state28))) then
1940
- delay_bph_ce0 <= ap_const_logic_1;
1941
- elsif ((ap_const_logic_1 = ap_cs_fsm_state39)) then
1942
- delay_bph_ce0 <= grp_upzero_fu_653_bli_ce0;
1943
- else
1944
- delay_bph_ce0 <= ap_const_logic_0;
1945
- end if;
1946
- end process;
1947
-
1948
- delay_bph_we0_assign_proc : process(ap_cs_fsm_state39,grp_upzero_fu_653_bli_we0)
1949
- begin
1950
- if ((ap_const_logic_1 = ap_cs_fsm_state39)) then
1951
- delay_bph_we0 <= grp_upzero_fu_653_bli_we0;
1952
- else
1953
- delay_bph_we0 <= ap_const_logic_0;
1954
- end if;
1955
- end process;
1956
-
1957
- delay_bpl_address0_assign_proc : process(ap_cs_fsm_state10,ap_cs_fsm_state14,ap_cs_fsm_state27,grp_upzero_fu_653_bli_address0,p_01_rec_i_cast_fu_1004_p1)
1958
- begin
1959
- if ((ap_const_logic_1 = ap_cs_fsm_state14)) then
1960
- delay_bpl_address0 <= p_01_rec_i_cast_fu_1004_p1(3 - 1 downto 0);
1961
- elsif ((ap_const_logic_1 = ap_cs_fsm_state10)) then
1962
- delay_bpl_address0 <= ap_const_lv3_0;
1963
- elsif ((ap_const_logic_1 = ap_cs_fsm_state27)) then
1964
- delay_bpl_address0 <= grp_upzero_fu_653_bli_address0;
1965
- else
1966
- delay_bpl_address0 <= "xxx";
1967
- end if;
1968
- end process;
1969
-
1970
- delay_bpl_ce0_assign_proc : process(ap_cs_fsm_state10,ap_cs_fsm_state14,ap_cs_fsm_state27,grp_upzero_fu_653_bli_ce0)
1971
- begin
1972
- if (((ap_const_logic_1 = ap_cs_fsm_state14) or (ap_const_logic_1 = ap_cs_fsm_state10))) then
1973
- delay_bpl_ce0 <= ap_const_logic_1;
1974
- elsif ((ap_const_logic_1 = ap_cs_fsm_state27)) then
1975
- delay_bpl_ce0 <= grp_upzero_fu_653_bli_ce0;
1976
- else
1977
- delay_bpl_ce0 <= ap_const_logic_0;
1978
- end if;
1979
- end process;
1980
-
1981
- delay_bpl_we0_assign_proc : process(ap_cs_fsm_state27,grp_upzero_fu_653_bli_we0)
1982
- begin
1983
- if ((ap_const_logic_1 = ap_cs_fsm_state27)) then
1984
- delay_bpl_we0 <= grp_upzero_fu_653_bli_we0;
1985
- else
1986
- delay_bpl_we0 <= ap_const_logic_0;
1987
- end if;
1988
- end process;
1989
-
1990
- delay_dhx_address0_assign_proc : process(ap_cs_fsm_state28,ap_cs_fsm_state31,ap_cs_fsm_state39,grp_upzero_fu_653_dlti_address0,p_01_rec_i1_cast_fu_1810_p1)
1991
- begin
1992
- if ((ap_const_logic_1 = ap_cs_fsm_state31)) then
1993
- delay_dhx_address0 <= p_01_rec_i1_cast_fu_1810_p1(3 - 1 downto 0);
1994
- elsif ((ap_const_logic_1 = ap_cs_fsm_state28)) then
1995
- delay_dhx_address0 <= ap_const_lv3_0;
1996
- elsif ((ap_const_logic_1 = ap_cs_fsm_state39)) then
1997
- delay_dhx_address0 <= grp_upzero_fu_653_dlti_address0;
1998
- else
1999
- delay_dhx_address0 <= "xxx";
2000
- end if;
2001
- end process;
2002
-
2003
- delay_dhx_ce0_assign_proc : process(ap_cs_fsm_state28,ap_cs_fsm_state31,ap_cs_fsm_state39,grp_upzero_fu_653_dlti_ce0)
2004
- begin
2005
- if (((ap_const_logic_1 = ap_cs_fsm_state31) or (ap_const_logic_1 = ap_cs_fsm_state28))) then
2006
- delay_dhx_ce0 <= ap_const_logic_1;
2007
- elsif ((ap_const_logic_1 = ap_cs_fsm_state39)) then
2008
- delay_dhx_ce0 <= grp_upzero_fu_653_dlti_ce0;
2009
- else
2010
- delay_dhx_ce0 <= ap_const_logic_0;
2011
- end if;
2012
- end process;
2013
-
2014
- delay_dhx_ce1_assign_proc : process(ap_cs_fsm_state39,grp_upzero_fu_653_dlti_ce1)
2015
- begin
2016
- if ((ap_const_logic_1 = ap_cs_fsm_state39)) then
2017
- delay_dhx_ce1 <= grp_upzero_fu_653_dlti_ce1;
2018
- else
2019
- delay_dhx_ce1 <= ap_const_logic_0;
2020
- end if;
2021
- end process;
2022
-
2023
- delay_dhx_we0_assign_proc : process(ap_cs_fsm_state39,grp_upzero_fu_653_dlti_we0)
2024
- begin
2025
- if ((ap_const_logic_1 = ap_cs_fsm_state39)) then
2026
- delay_dhx_we0 <= grp_upzero_fu_653_dlti_we0;
2027
- else
2028
- delay_dhx_we0 <= ap_const_logic_0;
2029
- end if;
2030
- end process;
2031
-
2032
- delay_dhx_we1_assign_proc : process(ap_cs_fsm_state39,grp_upzero_fu_653_dlti_we1)
2033
- begin
2034
- if ((ap_const_logic_1 = ap_cs_fsm_state39)) then
2035
- delay_dhx_we1 <= grp_upzero_fu_653_dlti_we1;
2036
- else
2037
- delay_dhx_we1 <= ap_const_logic_0;
2038
- end if;
2039
- end process;
2040
-
2041
- delay_dltx_address0_assign_proc : process(ap_cs_fsm_state10,ap_cs_fsm_state14,ap_cs_fsm_state27,grp_upzero_fu_653_dlti_address0,p_01_rec_i_cast_fu_1004_p1)
2042
- begin
2043
- if ((ap_const_logic_1 = ap_cs_fsm_state14)) then
2044
- delay_dltx_address0 <= p_01_rec_i_cast_fu_1004_p1(3 - 1 downto 0);
2045
- elsif ((ap_const_logic_1 = ap_cs_fsm_state10)) then
2046
- delay_dltx_address0 <= ap_const_lv3_0;
2047
- elsif ((ap_const_logic_1 = ap_cs_fsm_state27)) then
2048
- delay_dltx_address0 <= grp_upzero_fu_653_dlti_address0;
2049
- else
2050
- delay_dltx_address0 <= "xxx";
2051
- end if;
2052
- end process;
2053
-
2054
- delay_dltx_ce0_assign_proc : process(ap_cs_fsm_state10,ap_cs_fsm_state14,ap_cs_fsm_state27,grp_upzero_fu_653_dlti_ce0)
2055
- begin
2056
- if (((ap_const_logic_1 = ap_cs_fsm_state14) or (ap_const_logic_1 = ap_cs_fsm_state10))) then
2057
- delay_dltx_ce0 <= ap_const_logic_1;
2058
- elsif ((ap_const_logic_1 = ap_cs_fsm_state27)) then
2059
- delay_dltx_ce0 <= grp_upzero_fu_653_dlti_ce0;
2060
- else
2061
- delay_dltx_ce0 <= ap_const_logic_0;
2062
- end if;
2063
- end process;
2064
-
2065
- delay_dltx_ce1_assign_proc : process(ap_cs_fsm_state27,grp_upzero_fu_653_dlti_ce1)
2066
- begin
2067
- if ((ap_const_logic_1 = ap_cs_fsm_state27)) then
2068
- delay_dltx_ce1 <= grp_upzero_fu_653_dlti_ce1;
2069
- else
2070
- delay_dltx_ce1 <= ap_const_logic_0;
2071
- end if;
2072
- end process;
2073
-
2074
- delay_dltx_we0_assign_proc : process(ap_cs_fsm_state27,grp_upzero_fu_653_dlti_we0)
2075
- begin
2076
- if ((ap_const_logic_1 = ap_cs_fsm_state27)) then
2077
- delay_dltx_we0 <= grp_upzero_fu_653_dlti_we0;
2078
- else
2079
- delay_dltx_we0 <= ap_const_logic_0;
2080
- end if;
2081
- end process;
2082
-
2083
- delay_dltx_we1_assign_proc : process(ap_cs_fsm_state27,grp_upzero_fu_653_dlti_we1)
2084
- begin
2085
- if ((ap_const_logic_1 = ap_cs_fsm_state27)) then
2086
- delay_dltx_we1 <= grp_upzero_fu_653_dlti_we1;
2087
- else
2088
- delay_dltx_we1 <= ap_const_logic_0;
2089
- end if;
2090
- end process;
2091
- el_assign_fu_1126_p2 <= std_logic_vector(unsigned(tmp_3_reg_2780) - unsigned(tmp_13_fu_1115_p2));
2092
- exitcond2_fu_746_p2 <= "1" when (i_reg_537 = ap_const_lv4_a) else "0";
2093
- exitcond4_fu_1816_p2 <= "1" when (dlt_pn_rec_i1_reg_633 = ap_const_lv3_5) else "0";
2094
- exitcond5_fu_1010_p2 <= "1" when (dlt_pn_rec_i_reg_600 = ap_const_lv3_5) else "0";
2095
- exitcond_fu_934_p2 <= "1" when (i_1_reg_579 = ap_const_lv5_16) else "0";
2096
- grp_upzero_fu_653_ap_start <= ap_reg_grp_upzero_fu_653_ap_start;
2097
-
2098
- grp_upzero_fu_653_bli_q0_assign_proc : process(delay_bpl_q0,delay_bph_q0,ap_cs_fsm_state27,ap_cs_fsm_state39)
2099
- begin
2100
- if ((ap_const_logic_1 = ap_cs_fsm_state39)) then
2101
- grp_upzero_fu_653_bli_q0 <= delay_bph_q0;
2102
- elsif ((ap_const_logic_1 = ap_cs_fsm_state27)) then
2103
- grp_upzero_fu_653_bli_q0 <= delay_bpl_q0;
2104
- else
2105
- grp_upzero_fu_653_bli_q0 <= "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx";
2106
- end if;
2107
- end process;
2108
-
2109
- grp_upzero_fu_653_dlt_assign_proc : process(tmp_19_reg_2926,ap_cs_fsm_state27,tmp_36_reg_3068,ap_cs_fsm_state39)
2110
- begin
2111
- if ((ap_const_logic_1 = ap_cs_fsm_state39)) then
2112
- grp_upzero_fu_653_dlt <= tmp_36_reg_3068;
2113
- elsif ((ap_const_logic_1 = ap_cs_fsm_state27)) then
2114
- grp_upzero_fu_653_dlt <= tmp_19_reg_2926;
2115
- else
2116
- grp_upzero_fu_653_dlt <= "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx";
2117
- end if;
2118
- end process;
2119
-
2120
- grp_upzero_fu_653_dlti_q0_assign_proc : process(delay_dltx_q0,delay_dhx_q0,ap_cs_fsm_state27,ap_cs_fsm_state39)
2121
- begin
2122
- if ((ap_const_logic_1 = ap_cs_fsm_state39)) then
2123
- grp_upzero_fu_653_dlti_q0 <= delay_dhx_q0;
2124
- elsif ((ap_const_logic_1 = ap_cs_fsm_state27)) then
2125
- grp_upzero_fu_653_dlti_q0 <= delay_dltx_q0;
2126
- else
2127
- grp_upzero_fu_653_dlti_q0 <= "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx";
2128
- end if;
2129
- end process;
2130
-
2131
- grp_upzero_fu_653_dlti_q1_assign_proc : process(delay_dltx_q1,delay_dhx_q1,ap_cs_fsm_state27,ap_cs_fsm_state39)
2132
- begin
2133
- if ((ap_const_logic_1 = ap_cs_fsm_state39)) then
2134
- grp_upzero_fu_653_dlti_q1 <= delay_dhx_q1;
2135
- elsif ((ap_const_logic_1 = ap_cs_fsm_state27)) then
2136
- grp_upzero_fu_653_dlti_q1 <= delay_dltx_q1;
2137
- else
2138
- grp_upzero_fu_653_dlti_q1 <= "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx";
2139
- end if;
2140
- end process;
2141
- h_address0 <= tqmf_ptr_0_rec_cast_fu_740_p1(5 - 1 downto 0);
2142
- h_address1 <= tqmf_ptr_0_sum1_cast_fu_764_p1(5 - 1 downto 0);
2143
-
2144
- h_ce0_assign_proc : process(ap_cs_fsm_state4)
2145
- begin
2146
- if ((ap_const_logic_1 = ap_cs_fsm_state4)) then
2147
- h_ce0 <= ap_const_logic_1;
2148
- else
2149
- h_ce0 <= ap_const_logic_0;
2150
- end if;
2151
- end process;
2152
-
2153
- h_ce1_assign_proc : process(ap_cs_fsm_state4)
2154
- begin
2155
- if ((ap_const_logic_1 = ap_cs_fsm_state4)) then
2156
- h_ce1 <= ap_const_logic_1;
2157
- else
2158
- h_ce1 <= ap_const_logic_0;
2159
- end if;
2160
- end process;
2161
- i_4_fu_752_p2 <= std_logic_vector(unsigned(i_reg_537) + unsigned(ap_const_lv4_1));
2162
- i_5_fu_940_p2 <= std_logic_vector(unsigned(i_1_reg_579) + unsigned(ap_const_lv5_1));
2163
- il_assign_cast_fu_1219_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(ril_2_fu_1212_p3),32));
2164
-
2165
- ilb_table_address0_assign_proc : process(ap_cs_fsm_state25,ap_cs_fsm_state38,tmp_i3_fu_1393_p1,tmp_i1_fu_2229_p1)
2166
- begin
2167
- if ((ap_const_logic_1 = ap_cs_fsm_state38)) then
2168
- ilb_table_address0 <= tmp_i1_fu_2229_p1(5 - 1 downto 0);
2169
- elsif ((ap_const_logic_1 = ap_cs_fsm_state25)) then
2170
- ilb_table_address0 <= tmp_i3_fu_1393_p1(5 - 1 downto 0);
2171
- else
2172
- ilb_table_address0 <= "xxxxx";
2173
- end if;
2174
- end process;
2175
-
2176
- ilb_table_ce0_assign_proc : process(ap_cs_fsm_state25,ap_cs_fsm_state38)
2177
- begin
2178
- if (((ap_const_logic_1 = ap_cs_fsm_state38) or (ap_const_logic_1 = ap_cs_fsm_state25))) then
2179
- ilb_table_ce0 <= ap_const_logic_1;
2180
- else
2181
- ilb_table_ce0 <= ap_const_logic_0;
2182
- end if;
2183
- end process;
2184
- m_1_fu_2016_p2 <= std_logic_vector(unsigned(ap_const_lv32_0) - unsigned(n_assign_2_fu_1951_p2));
2185
- m_fu_1149_p2 <= std_logic_vector(unsigned(ap_const_lv32_0) - unsigned(el_assign_fu_1126_p2));
2186
- mil_fu_1173_p2 <= std_logic_vector(unsigned(mil_i_reg_611) + unsigned(ap_const_lv5_1));
2187
- n_assign_1_fu_1155_p3 <= m_fu_1149_p2 when (tmp_27_fu_1141_p3(0) = '1') else el_assign_fu_1126_p2;
2188
- n_assign_2_fu_1951_p2 <= std_logic_vector(unsigned(xh) - unsigned(tmp_26_reg_3029));
2189
- n_assign_3_fu_2022_p3 <= m_1_fu_2016_p2 when (tmp_55_fu_2008_p3(0) = '1') else n_assign_2_fu_1951_p2;
2190
- nbh_assign_1_cast_fu_2161_p2 <= std_logic_vector(unsigned(tmp_39_fu_2141_p4) + unsigned(tmp_56_fu_2151_p1));
2191
- nbh_assign_1_fu_2155_p2 <= std_logic_vector(unsigned(tmp_37_fu_2127_p6) + unsigned(wd_fu_2117_p4));
2192
- nbl_assign_1_cast_fu_1301_p2 <= std_logic_vector(unsigned(tmp_6_fu_1281_p4) + unsigned(tmp_7_fu_1291_p1));
2193
- nbl_assign_1_fu_1295_p2 <= std_logic_vector(signed(wl_code_table_load_c_fu_1277_p1) + signed(tmp_42_i_fu_1267_p4));
2194
- nbl_assign_2_cast_fu_1363_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(nbl_assign_2_fu_1357_p3),32));
2195
- nbl_assign_2_fu_1357_p3 <= ap_const_lv15_4800 when (tmp_45_i_reg_2921(0) = '1') else tmp_30_reg_2916;
2196
- nbl_assign_3_cast_fu_2199_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(nbl_assign_3_fu_2193_p3),32));
2197
- nbl_assign_3_fu_2193_p3 <= ap_const_lv15_5800 when (tmp_48_i_reg_3079(0) = '1') else tmp_58_reg_3074;
2198
- p_01_rec_i1_cast_fu_1810_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(p_01_rec_i1_fu_1804_p2),64));
2199
- p_01_rec_i1_fu_1804_p2 <= std_logic_vector(unsigned(dlt_pn_rec_i1_reg_633) + unsigned(ap_const_lv3_1));
2200
- p_01_rec_i_cast_fu_1004_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(p_01_rec_i_fu_998_p2),64));
2201
- p_01_rec_i_fu_998_p2 <= std_logic_vector(unsigned(dlt_pn_rec_i_reg_600) + unsigned(ap_const_lv3_1));
2202
- p_i1_fu_1663_p3 <= ap_const_lv32_3000 when (tmp_23_i_fu_1658_p2(0) = '1') else apl2_reg_2953;
2203
- p_i2_fu_2175_p3 <= ap_const_lv31_0 when (tmp_57_fu_2167_p3(0) = '1') else nbh_assign_1_cast_fu_2161_p2;
2204
- p_i3_fu_2499_p3 <= ap_const_lv32_3000 when (tmp_23_i1_fu_2494_p2(0) = '1') else apl2_1_reg_3105;
2205
- p_i_fu_1315_p3 <= ap_const_lv31_0 when (tmp_28_fu_1307_p3(0) = '1') else nbl_assign_1_cast_fu_1301_p2;
2206
- p_shl1_cast_fu_712_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(p_shl1_fu_704_p3),37));
2207
- p_shl1_fu_704_p3 <= (tqmf_q0 & ap_const_lv2_0);
2208
- p_shl2_cast_fu_860_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(p_shl2_fu_852_p3),37));
2209
- p_shl2_fu_852_p3 <= (tqmf_q0 & ap_const_lv4_0);
2210
- p_shl3_cast_fu_872_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(p_shl3_fu_864_p3),37));
2211
- p_shl3_fu_864_p3 <= (tqmf_q0 & ap_const_lv2_0);
2212
- p_shl_cast_fu_700_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(p_shl_fu_692_p3),37));
2213
- p_shl_fu_692_p3 <= (tqmf_q0 & ap_const_lv4_0);
2214
- p_shl_i1_cast_fu_1552_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(p_shl_i1_fu_1544_p3),40));
2215
- p_shl_i1_fu_1544_p3 <= (al2 & ap_const_lv7_0);
2216
- p_shl_i2_cast_fu_1625_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(p_shl_i2_fu_1617_p3),41));
2217
- p_shl_i2_fu_1617_p3 <= (al1 & ap_const_lv8_0);
2218
- p_shl_i3_fu_2103_p3 <= (nbh & ap_const_lv7_0);
2219
- p_shl_i4_cast_fu_2388_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(p_shl_i4_fu_2380_p3),40));
2220
- p_shl_i4_fu_2380_p3 <= (ah2 & ap_const_lv7_0);
2221
- p_shl_i5_cast_fu_2461_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(p_shl_i5_fu_2453_p3),41));
2222
- p_shl_i5_fu_2453_p3 <= (ah1 & ap_const_lv8_0);
2223
- p_shl_i_fu_1253_p3 <= (nbl & ap_const_lv7_0);
2224
- p_sum_cast_fu_912_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(p_sum_fu_906_p2),64));
2225
- p_sum_fu_906_p2 <= std_logic_vector(unsigned(tqmf_ptr_0_pn_rec_reg_568) + unsigned(ap_const_lv6_17));
2226
- phitmp_fu_770_p2 <= std_logic_vector(unsigned(tqmf_ptr_0_rec_reg_526) + unsigned(ap_const_lv5_2));
2227
- pl_1_fu_1034_p0 <= al1;
2228
- pl_1_fu_1034_p1 <= tmp_20_fu_1020_p2;
2229
- pl_1_fu_1034_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(pl_1_fu_1034_p0) * signed(pl_1_fu_1034_p1))),64));
2230
- pl_2_fu_1079_p2 <= std_logic_vector(unsigned(tmp_56_i_reg_2823) + unsigned(pl_1_reg_2818));
2231
- pl_4_fu_1840_p0 <= tmp_52_fu_1826_p2;
2232
- pl_4_fu_1840_p1 <= ah1;
2233
- pl_4_fu_1840_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(pl_4_fu_1840_p0) * signed(pl_4_fu_1840_p1))),64));
2234
- pl_5_fu_1901_p2 <= std_logic_vector(unsigned(pl_4_reg_3004) + unsigned(tmp_56_i1_reg_3009));
2235
- qq4_code4_table_address0 <= tmp_16_fu_1239_p1(4 - 1 downto 0);
2236
-
2237
- qq4_code4_table_ce0_assign_proc : process(ap_cs_fsm_state23)
2238
- begin
2239
- if ((ap_const_logic_1 = ap_cs_fsm_state23)) then
2240
- qq4_code4_table_ce0 <= ap_const_logic_1;
2241
- else
2242
- qq4_code4_table_ce0 <= ap_const_logic_0;
2243
- end if;
2244
- end process;
2245
- quant26bt_neg_address0 <= tmp_41_i_fu_1206_p1(5 - 1 downto 0);
2246
-
2247
- quant26bt_neg_ce0_assign_proc : process(ap_cs_fsm_state22)
2248
- begin
2249
- if ((ap_const_logic_1 = ap_cs_fsm_state22)) then
2250
- quant26bt_neg_ce0 <= ap_const_logic_1;
2251
- else
2252
- quant26bt_neg_ce0 <= ap_const_logic_0;
2253
- end if;
2254
- end process;
2255
- quant26bt_pos_address0 <= tmp_41_i_fu_1206_p1(5 - 1 downto 0);
2256
-
2257
- quant26bt_pos_ce0_assign_proc : process(ap_cs_fsm_state22)
2258
- begin
2259
- if ((ap_const_logic_1 = ap_cs_fsm_state22)) then
2260
- quant26bt_pos_ce0 <= ap_const_logic_1;
2261
- else
2262
- quant26bt_pos_ce0 <= ap_const_logic_0;
2263
- end if;
2264
- end process;
2265
- ril_2_fu_1212_p3 <= quant26bt_neg_q0 when (tmp_27_reg_2848(0) = '1') else quant26bt_pos_q0;
2266
- tmp6_cast_fu_1607_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(tmp6_fu_1601_p2),32));
2267
- tmp6_fu_1601_p2 <= std_logic_vector(signed(tmp_3_i_cast_fu_1579_p1) + signed(tmp_5_i_cast_cast_fu_1593_p3));
2268
- tmp7_cast_fu_2443_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(tmp7_fu_2437_p2),32));
2269
- tmp7_fu_2437_p2 <= std_logic_vector(signed(tmp_3_i1_cast_fu_2415_p1) + signed(tmp_5_i1_cast_cast_fu_2429_p3));
2270
- tmp_10_fu_966_p2 <= std_logic_vector(unsigned(xa_1_cast_reg_2742) - unsigned(xb_1_cast_reg_2752));
2271
- tmp_11_fu_1995_p4 <= tmp_31_reg_3039(42 downto 12);
2272
- tmp_13_fu_1115_p2 <= std_logic_vector(unsigned(tmp_58_i_reg_2838) + unsigned(tmp_50_i_fu_1099_p4));
2273
- tmp_14_fu_1229_p4 <= ril_2_fu_1212_p3(5 downto 2);
2274
- tmp_15_cast_fu_830_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(tmp_s_reg_2731),50));
2275
- tmp_15_fu_836_p1 <= xa1_reg_548(47 - 1 downto 0);
2276
- tmp_15_i1_fu_2297_p0 <= ph1;
2277
- tmp_15_i1_fu_2297_p1 <= tmp_i10_fu_2289_p1(32 - 1 downto 0);
2278
- tmp_15_i1_fu_2297_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_15_i1_fu_2297_p0) * signed(tmp_15_i1_fu_2297_p1))),64));
2279
- tmp_15_i_fu_1461_p0 <= plt1;
2280
- tmp_15_i_fu_1461_p1 <= tmp_i4_fu_1453_p1(32 - 1 downto 0);
2281
- tmp_15_i_fu_1461_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_15_i_fu_1461_p0) * signed(tmp_15_i_fu_1461_p1))),64));
2282
- tmp_16_fu_1239_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(tmp_14_fu_1229_p4),64));
2283
- tmp_17_fu_890_p1 <= xb1_reg_558(47 - 1 downto 0);
2284
- tmp_18_fu_1336_p0 <= qq4_code4_table_load_reg_2911;
2285
- tmp_18_fu_1336_p1 <= tmp_i1_cast_reg_2858(32 - 1 downto 0);
2286
- tmp_18_fu_1336_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_18_fu_1336_p0) * signed(tmp_18_fu_1336_p1))),47));
2287
- tmp_19_i1_fu_2315_p0 <= ph2;
2288
- tmp_19_i1_fu_2315_p1 <= tmp_i10_fu_2289_p1(32 - 1 downto 0);
2289
- tmp_19_i1_fu_2315_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_19_i1_fu_2315_p0) * signed(tmp_19_i1_fu_2315_p1))),64));
2290
- tmp_19_i_fu_1479_p0 <= plt2;
2291
- tmp_19_i_fu_1479_p1 <= tmp_i4_fu_1453_p1(32 - 1 downto 0);
2292
- tmp_19_i_fu_1479_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_19_i_fu_1479_p0) * signed(tmp_19_i_fu_1479_p1))),64));
2293
- tmp_1_fu_796_p0 <= h_ptr_load_reg_2706;
2294
- tmp_1_fu_796_p1 <= reg_688;
2295
- tmp_1_fu_796_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_1_fu_796_p0) * signed(tmp_1_fu_796_p1))),46));
2296
- tmp_20_fu_1020_p2 <= std_logic_vector(shift_left(unsigned(rlt1),to_integer(unsigned('0' & ap_const_lv32_1(31 - 1 downto 0)))));
2297
- tmp_20_i1_cast9_fu_2376_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(ah2),40));
2298
- tmp_20_i_cast_fu_1540_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(al2),40));
2299
- tmp_21_fu_1431_p2 <= std_logic_vector(unsigned(tmp_50_i_reg_2843) + unsigned(tmp_19_reg_2926));
2300
- tmp_21_i1_fu_2392_p2 <= std_logic_vector(unsigned(p_shl_i4_cast_fu_2388_p1) - unsigned(tmp_20_i1_cast9_fu_2376_p1));
2301
- tmp_21_i_fu_1556_p2 <= std_logic_vector(unsigned(p_shl_i1_cast_fu_1552_p1) - unsigned(tmp_20_i_cast_fu_1540_p1));
2302
- tmp_22_cast_fu_882_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(tmp_8_fu_876_p2),50));
2303
- tmp_22_fu_1519_p4 <= al1(31 downto 5);
2304
- tmp_23_fu_1040_p2 <= std_logic_vector(shift_left(unsigned(rlt2),to_integer(unsigned('0' & ap_const_lv32_1(31 - 1 downto 0)))));
2305
- tmp_23_i1_fu_2494_p2 <= "1" when (signed(apl2_1_reg_3105) > signed(ap_const_lv32_3000)) else "0";
2306
- tmp_23_i_fu_1658_p2 <= "1" when (signed(apl2_reg_2953) > signed(ap_const_lv32_3000)) else "0";
2307
- tmp_24_fu_1529_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(tmp_22_fu_1519_p4),28));
2308
- tmp_24_i1_fu_2510_p2 <= "1" when (signed(p_i3_fu_2499_p3) < signed(ap_const_lv32_ffffd000)) else "0";
2309
- tmp_24_i_fu_1674_p2 <= "1" when (signed(p_i1_fu_1663_p3) < signed(ap_const_lv32_ffffd000)) else "0";
2310
- tmp_25_cast_fu_802_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(tmp_9_reg_2711),50));
2311
- tmp_25_fu_1768_p2 <= std_logic_vector(unsigned(dlt) + unsigned(sl));
2312
- tmp_26_fu_1921_p2 <= std_logic_vector(unsigned(tmp_50_i1_fu_1885_p4) + unsigned(tmp_58_i1_fu_1905_p4));
2313
- tmp_27_fu_1141_p3 <= el_assign_fu_1126_p2(31 downto 31);
2314
- tmp_28_cast_fu_811_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(tmp_1_reg_2716),50));
2315
- tmp_28_fu_1307_p3 <= nbl_assign_1_fu_1295_p2(31 downto 31);
2316
- tmp_29_fu_1970_p3 <= ap_const_lv2_1 when (tmp_54_fu_1962_p3(0) = '1') else ap_const_lv2_3;
2317
- tmp_29_i1_fu_2471_p4 <= tmp_i11_fu_2465_p2(39 downto 8);
2318
- tmp_29_i_fu_1635_p4 <= tmp_i5_fu_1629_p2(39 downto 8);
2319
- tmp_2_fu_886_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(tmp_8_fu_876_p2),47));
2320
- tmp_30_fu_1323_p1 <= p_i_fu_1315_p3(15 - 1 downto 0);
2321
- tmp_30_i1_fu_2546_p2 <= "1" when (signed(apl1_4_reg_3111) > signed(apl1_9_cast_fu_2542_p1)) else "0";
2322
- tmp_30_i_fu_1710_p2 <= "1" when (signed(apl1_reg_2959) > signed(apl1_8_cast_fu_1706_p1)) else "0";
2323
- tmp_31_fu_1941_p1 <= deth;
2324
- tmp_31_fu_1941_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed('0' & ap_const_lv43_234) * signed(tmp_31_fu_1941_p1))),43));
2325
- tmp_31_i1_fu_2572_p2 <= "1" when (signed(wd3_0_apl1_i1_fu_2551_p3) < signed(apl1_6_cast_fu_2568_p1)) else "0";
2326
- tmp_31_i_fu_1736_p2 <= "1" when (signed(wd3_0_apl1_i_fu_1715_p3) < signed(apl1_2_cast_fu_1732_p1)) else "0";
2327
- tmp_32_fu_2030_p2 <= "1" when (signed(n_assign_3_fu_2022_p3) > signed(decis_fu_2004_p1)) else "0";
2328
- tmp_33_fu_2036_p3 <= ap_const_lv2_0 when (tmp_54_reg_3044(0) = '1') else ap_const_lv2_2;
2329
- tmp_33_i1_cast_cast_fu_2249_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(tmp_33_i1_fu_2244_p2),12));
2330
- tmp_33_i1_fu_2244_p2 <= std_logic_vector(signed(ap_const_lv4_b) - signed(wd2_4_cast_reg_3084));
2331
- tmp_33_i_cast_cast_fu_1403_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(tmp_33_i_fu_1398_p2),12));
2332
- tmp_33_i_fu_1398_p2 <= std_logic_vector(signed(ap_const_lv4_9) - signed(wd2_cast_reg_2932));
2333
- tmp_34_i1_cast_fu_2267_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(tmp_34_i1_fu_2259_p3),32));
2334
- tmp_34_i1_fu_2259_p3 <= (wd3_2_fu_2253_p2 & ap_const_lv3_0);
2335
- tmp_34_i_cast_fu_1421_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(tmp_34_i_fu_1413_p3),32));
2336
- tmp_34_i_fu_1413_p3 <= (wd3_fu_1407_p2 & ap_const_lv3_0);
2337
- tmp_35_fu_2074_p0 <= tmp_47_cast1_reg_3055(32 - 1 downto 0);
2338
- tmp_35_fu_2074_p1 <= tmp_34_fu_2056_p6;
2339
- tmp_35_fu_2074_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_35_fu_2074_p0) * signed(tmp_35_fu_2074_p1))),47));
2340
- tmp_35_i_fu_1167_p2 <= "1" when (unsigned(mil_i_reg_611) < unsigned(ap_const_lv5_1e)) else "0";
2341
- tmp_36_i_fu_1179_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(mil_i_reg_611),64));
2342
- tmp_38_i_fu_1187_p0 <= tmp_i1_cast_reg_2858(32 - 1 downto 0);
2343
- tmp_38_i_fu_1187_p1 <= tmp_38_i_fu_1187_p10(15 - 1 downto 0);
2344
- tmp_38_i_fu_1187_p10 <= std_logic_vector(ieee.numeric_std.resize(unsigned(decis_levl_load_reg_2878),47));
2345
- tmp_38_i_fu_1187_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_38_i_fu_1187_p0) * signed('0' & tmp_38_i_fu_1187_p1))),47));
2346
- tmp_39_fu_2141_p4 <= tmp_i8_fu_2111_p2(37 downto 7);
2347
- tmp_39_i_fu_1202_p2 <= "1" when (signed(n_assign_1_reg_2853) > signed(tmp_5_reg_2883)) else "0";
2348
- tmp_3_i1_cast_fu_2415_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(tmp_62_fu_2408_p3),29));
2349
- tmp_3_i_cast_fu_1579_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(tmp_47_fu_1572_p3),29));
2350
- tmp_40_fu_2234_p2 <= std_logic_vector(unsigned(tmp_36_reg_3068) + unsigned(tmp_50_i1_reg_3024));
2351
- tmp_41_fu_2355_p4 <= ah1(31 downto 5);
2352
- tmp_41_i_fu_1206_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(mil_i_reg_611),64));
2353
- tmp_42_fu_1533_p3 <= tmp_19_i_reg_2948(63 downto 63);
2354
- tmp_42_i_fu_1267_p4 <= tmp_i2_fu_1261_p2(38 downto 7);
2355
- tmp_43_fu_2365_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(tmp_41_fu_2355_p4),28));
2356
- tmp_44_fu_1562_p4 <= wd2_1_fu_1513_p2(34 downto 7);
2357
- tmp_45_fu_2604_p2 <= std_logic_vector(unsigned(sh) + unsigned(dh));
2358
- tmp_45_i_fu_1327_p2 <= "1" when (unsigned(p_i_fu_1315_p3) > unsigned(ap_const_lv31_4800)) else "0";
2359
- tmp_46_cast_cast_fu_1978_p3 <= ap_const_lv32_1 when (tmp_54_fu_1962_p3(0) = '1') else ap_const_lv32_3;
2360
- tmp_47_cast1_fu_1992_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(deth),47));
2361
- tmp_47_fu_1572_p3 <= tmp_24_fu_1529_p1 when (tmp_38_reg_2942(0) = '1') else tmp_44_fu_1562_p4;
2362
- tmp_48_i_fu_2187_p2 <= "1" when (unsigned(p_i2_fu_2175_p3) > unsigned(ap_const_lv31_5800)) else "0";
2363
- tmp_4_fu_946_p2 <= std_logic_vector(unsigned(xa_1_reg_2737) + unsigned(xb_1_reg_2747));
2364
- tmp_4_i1_fu_2419_p4 <= tmp_21_i1_fu_2392_p2(38 downto 7);
2365
- tmp_4_i_fu_1583_p4 <= tmp_21_i_fu_1556_p2(38 downto 7);
2366
- tmp_50_fu_1670_p1 <= p_i1_fu_1663_p3(15 - 1 downto 0);
2367
- tmp_50_i1_fu_1885_p4 <= zl1_i1_reg_623(45 downto 14);
2368
- tmp_50_i_fu_1099_p4 <= zl1_i_reg_590(45 downto 14);
2369
- tmp_51_cast_cast_fu_2043_p3 <= ap_const_lv32_0 when (tmp_54_reg_3044(0) = '1') else ap_const_lv32_2;
2370
- tmp_51_fu_1722_p1 <= wd3_0_apl1_i_fu_1715_p3(16 - 1 downto 0);
2371
- tmp_52_fu_1826_p2 <= std_logic_vector(shift_left(unsigned(rh1),to_integer(unsigned('0' & ap_const_lv32_1(31 - 1 downto 0)))));
2372
- tmp_53_fu_1846_p2 <= std_logic_vector(shift_left(unsigned(rh2),to_integer(unsigned('0' & ap_const_lv32_1(31 - 1 downto 0)))));
2373
- tmp_53_i1_fu_1874_p0 <= delay_dhx_q0;
2374
- tmp_53_i1_fu_1874_p1 <= delay_bph_q0;
2375
- tmp_53_i1_fu_1874_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_53_i1_fu_1874_p0) * signed(tmp_53_i1_fu_1874_p1))),64));
2376
- tmp_53_i_fu_1068_p0 <= delay_dltx_q0;
2377
- tmp_53_i_fu_1068_p1 <= delay_bpl_q0;
2378
- tmp_53_i_fu_1068_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_53_i_fu_1068_p0) * signed(tmp_53_i_fu_1068_p1))),64));
2379
- tmp_54_fu_1962_p3 <= n_assign_2_fu_1951_p2(31 downto 31);
2380
- tmp_55_fu_2008_p3 <= n_assign_2_fu_1951_p2(31 downto 31);
2381
- tmp_56_fu_2151_p1 <= tmp_37_fu_2127_p6(31 - 1 downto 0);
2382
- tmp_56_i1_fu_1860_p0 <= tmp_53_fu_1846_p2;
2383
- tmp_56_i1_fu_1860_p1 <= ah2;
2384
- tmp_56_i1_fu_1860_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_56_i1_fu_1860_p0) * signed(tmp_56_i1_fu_1860_p1))),64));
2385
- tmp_56_i_fu_1054_p0 <= al2;
2386
- tmp_56_i_fu_1054_p1 <= tmp_23_fu_1040_p2;
2387
- tmp_56_i_fu_1054_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_56_i_fu_1054_p0) * signed(tmp_56_i_fu_1054_p1))),64));
2388
- tmp_57_fu_2167_p3 <= nbh_assign_1_fu_2155_p2(31 downto 31);
2389
- tmp_58_fu_2183_p1 <= p_i2_fu_2175_p3(15 - 1 downto 0);
2390
- tmp_58_i1_fu_1905_p4 <= pl_5_fu_1901_p2(46 downto 15);
2391
- tmp_5_i1_cast_cast_fu_2429_p3 <= ap_const_lv29_1fffff80 when (tmp_60_fu_2369_p3(0) = '1') else ap_const_lv29_80;
2392
- tmp_5_i_cast_cast_fu_1593_p3 <= ap_const_lv29_1fffff80 when (tmp_42_fu_1533_p3(0) = '1') else ap_const_lv29_80;
2393
- tmp_60_fu_2369_p3 <= tmp_19_i1_reg_3100(63 downto 63);
2394
- tmp_61_fu_2398_p4 <= wd2_3_fu_2349_p2(34 downto 7);
2395
- tmp_62_fu_2408_p3 <= tmp_43_fu_2365_p1 when (tmp_59_reg_3094(0) = '1') else tmp_61_fu_2398_p4;
2396
- tmp_63_fu_2506_p1 <= p_i3_fu_2499_p3(15 - 1 downto 0);
2397
- tmp_64_fu_2558_p1 <= wd3_0_apl1_i1_fu_2551_p3(16 - 1 downto 0);
2398
- tmp_65_fu_2636_p2 <= std_logic_vector(shift_left(unsigned(ih),to_integer(unsigned('0' & ap_const_lv32_6(31 - 1 downto 0)))));
2399
- tmp_6_fu_1281_p4 <= tmp_i2_fu_1261_p2(37 downto 7);
2400
- tmp_7_fu_1291_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(wl_code_table_q0),31));
2401
- tmp_8_fu_876_p2 <= std_logic_vector(signed(p_shl2_cast_fu_860_p1) - signed(p_shl3_cast_fu_872_p1));
2402
- tmp_9_fu_783_p0 <= h_load_reg_2701;
2403
- tmp_9_fu_783_p1 <= tqmf_q0;
2404
- tmp_9_fu_783_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(tmp_9_fu_783_p0) * signed(tmp_9_fu_783_p1))),46));
2405
- tmp_fu_833_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(tmp_s_reg_2731),47));
2406
- tmp_i10_cast_fu_2333_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(ah1),41));
2407
- tmp_i10_fu_2289_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(ph),64));
2408
- tmp_i11_fu_2465_p2 <= std_logic_vector(unsigned(p_shl_i5_cast_fu_2461_p1) - unsigned(tmp_i10_cast_fu_2333_p1));
2409
- tmp_i1_cast_29_fu_1249_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(nbl),39));
2410
- tmp_i1_cast_fu_1163_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(detl),47));
2411
- tmp_i1_fu_2229_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(wd1_1_fu_2209_p4),64));
2412
- tmp_i2_fu_1261_p2 <= std_logic_vector(unsigned(p_shl_i_fu_1253_p3) - unsigned(tmp_i1_cast_29_fu_1249_p1));
2413
- tmp_i3_cast_fu_1497_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(al1),41));
2414
- tmp_i3_fu_1393_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(wd1_fu_1373_p4),64));
2415
- tmp_i4_fu_1453_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(plt),64));
2416
- tmp_i5_fu_1629_p2 <= std_logic_vector(unsigned(p_shl_i2_cast_fu_1625_p1) - unsigned(tmp_i3_cast_fu_1497_p1));
2417
- tmp_i7_cast_fu_2099_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(nbh),39));
2418
- tmp_i8_fu_2111_p2 <= std_logic_vector(unsigned(p_shl_i3_fu_2103_p3) - unsigned(tmp_i7_cast_fu_2099_p1));
2419
- tmp_s_fu_824_p1 <= tqmf_q0;
2420
- tmp_s_fu_824_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(ap_const_lv39_7fffffffd4) * signed(tmp_s_fu_824_p1))),39));
2421
-
2422
- tqmf_address0_assign_proc : process(ap_cs_fsm_state1,ap_cs_fsm_state2,ap_cs_fsm_state5,ap_cs_fsm_state4,tqmf_addr_reg_2673,exitcond2_fu_746_p2,ap_cs_fsm_state8,ap_cs_fsm_state10,exitcond_fu_934_p2,tqmf_ptr_0_sum1_cast_fu_764_p1,tqmf_ptr_0_sum_cast_fu_929_p1)
2423
- begin
2424
- if (((ap_const_lv1_0 = exitcond_fu_934_p2) and (ap_const_logic_1 = ap_cs_fsm_state10))) then
2425
- tqmf_address0 <= tqmf_ptr_0_sum_cast_fu_929_p1(5 - 1 downto 0);
2426
- elsif ((ap_const_logic_1 = ap_cs_fsm_state8)) then
2427
- tqmf_address0 <= ap_const_lv5_17;
2428
- elsif ((ap_const_logic_1 = ap_cs_fsm_state5)) then
2429
- tqmf_address0 <= tqmf_addr_reg_2673;
2430
- elsif (((exitcond2_fu_746_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_cs_fsm_state4))) then
2431
- tqmf_address0 <= ap_const_lv5_16;
2432
- elsif (((exitcond2_fu_746_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_cs_fsm_state4))) then
2433
- tqmf_address0 <= tqmf_ptr_0_sum1_cast_fu_764_p1(5 - 1 downto 0);
2434
- elsif ((ap_const_logic_1 = ap_cs_fsm_state2)) then
2435
- tqmf_address0 <= ap_const_lv5_0;
2436
- elsif (((ap_const_logic_1 = ap_cs_fsm_state1) or ((exitcond_fu_934_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_cs_fsm_state10)))) then
2437
- tqmf_address0 <= ap_const_lv5_1;
2438
- else
2439
- tqmf_address0 <= "xxxxx";
2440
- end if;
2441
- end process;
2442
-
2443
- tqmf_address1_assign_proc : process(tqmf_addr_2_reg_2757,ap_cs_fsm_state10,ap_cs_fsm_state11)
2444
- begin
2445
- if ((ap_const_logic_1 = ap_cs_fsm_state11)) then
2446
- tqmf_address1 <= tqmf_addr_2_reg_2757;
2447
- elsif ((ap_const_logic_1 = ap_cs_fsm_state10)) then
2448
- tqmf_address1 <= ap_const_lv5_0;
2449
- else
2450
- tqmf_address1 <= "xxxxx";
2451
- end if;
2452
- end process;
2453
-
2454
- tqmf_ce0_assign_proc : process(ap_start,ap_cs_fsm_state1,ap_cs_fsm_state2,ap_cs_fsm_state5,ap_cs_fsm_state4,exitcond2_fu_746_p2,ap_cs_fsm_state8,ap_cs_fsm_state10,exitcond_fu_934_p2)
2455
- begin
2456
- if (((ap_const_logic_1 = ap_cs_fsm_state8) or (ap_const_logic_1 = ap_cs_fsm_state5) or (ap_const_logic_1 = ap_cs_fsm_state2) or ((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_cs_fsm_state1)) or ((ap_const_lv1_0 = exitcond_fu_934_p2) and (ap_const_logic_1 = ap_cs_fsm_state10)) or ((exitcond_fu_934_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_cs_fsm_state10)) or ((exitcond2_fu_746_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_cs_fsm_state4)) or ((exitcond2_fu_746_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_cs_fsm_state4)))) then
2457
- tqmf_ce0 <= ap_const_logic_1;
2458
- else
2459
- tqmf_ce0 <= ap_const_logic_0;
2460
- end if;
2461
- end process;
2462
-
2463
- tqmf_ce1_assign_proc : process(ap_cs_fsm_state10,ap_cs_fsm_state11)
2464
- begin
2465
- if (((ap_const_logic_1 = ap_cs_fsm_state11) or (ap_const_logic_1 = ap_cs_fsm_state10))) then
2466
- tqmf_ce1 <= ap_const_logic_1;
2467
- else
2468
- tqmf_ce1 <= ap_const_logic_0;
2469
- end if;
2470
- end process;
2471
-
2472
- tqmf_d1_assign_proc : process(xin2,tqmf_q0,ap_cs_fsm_state10,ap_cs_fsm_state11)
2473
- begin
2474
- if ((ap_const_logic_1 = ap_cs_fsm_state11)) then
2475
- tqmf_d1 <= tqmf_q0;
2476
- elsif ((ap_const_logic_1 = ap_cs_fsm_state10)) then
2477
- tqmf_d1 <= xin2;
2478
- else
2479
- tqmf_d1 <= "xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx";
2480
- end if;
2481
- end process;
2482
- tqmf_ptr1_0_rec_fu_917_p2 <= std_logic_vector(unsigned(tqmf_ptr_0_pn_rec_reg_568) + unsigned(ap_const_lv6_3f));
2483
- tqmf_ptr_0_rec_cast_fu_740_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(tqmf_ptr_0_rec_reg_526),64));
2484
- tqmf_ptr_0_sum1_cast_fu_764_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(tqmf_ptr_0_sum1_fu_758_p2),64));
2485
- tqmf_ptr_0_sum1_fu_758_p2 <= (tqmf_ptr_0_rec_reg_526 or ap_const_lv5_1);
2486
- tqmf_ptr_0_sum_cast_fu_929_p1 <= std_logic_vector(ieee.numeric_std.resize(unsigned(tqmf_ptr_0_sum_fu_923_p2),64));
2487
- tqmf_ptr_0_sum_fu_923_p2 <= std_logic_vector(unsigned(tqmf_ptr_0_pn_rec_reg_568) + unsigned(ap_const_lv6_15));
2488
-
2489
- tqmf_we0_assign_proc : process(ap_cs_fsm_state10,exitcond_fu_934_p2)
2490
- begin
2491
- if (((exitcond_fu_934_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_cs_fsm_state10))) then
2492
- tqmf_we0 <= ap_const_logic_1;
2493
- else
2494
- tqmf_we0 <= ap_const_logic_0;
2495
- end if;
2496
- end process;
2497
-
2498
- tqmf_we1_assign_proc : process(ap_cs_fsm_state10,exitcond_fu_934_p2,ap_cs_fsm_state11)
2499
- begin
2500
- if (((ap_const_logic_1 = ap_cs_fsm_state11) or ((exitcond_fu_934_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_cs_fsm_state10)))) then
2501
- tqmf_we1 <= ap_const_logic_1;
2502
- else
2503
- tqmf_we1 <= ap_const_logic_0;
2504
- end if;
2505
- end process;
2506
- wd1_1_fu_2209_p4 <= nbl_assign_3_fu_2193_p3(10 downto 6);
2507
- wd1_fu_1373_p4 <= nbl_assign_2_fu_1357_p3(10 downto 6);
2508
- wd2_1_cast_fu_1509_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(wd2_fu_1501_p3),35));
2509
- wd2_1_fu_1513_p2 <= std_logic_vector(unsigned(ap_const_lv35_0) - unsigned(wd2_1_cast_fu_1509_p1));
2510
- wd2_2_fu_2337_p3 <= (ah1 & ap_const_lv2_0);
2511
- wd2_3_fu_2349_p2 <= std_logic_vector(unsigned(ap_const_lv35_0) - unsigned(wd2_5_cast_fu_2345_p1));
2512
- wd2_5_cast_fu_2345_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(wd2_2_fu_2337_p3),35));
2513
- wd2_fu_1501_p3 <= (al1 & ap_const_lv2_0);
2514
- wd3_0_apl1_i1_fu_2551_p3 <= apl1_9_cast_fu_2542_p1 when (tmp_30_i1_fu_2546_p2(0) = '1') else apl1_4_reg_3111;
2515
- wd3_0_apl1_i_fu_1715_p3 <= apl1_8_cast_fu_1706_p1 when (tmp_30_i_fu_1710_p2(0) = '1') else apl1_reg_2959;
2516
- wd3_2_fu_2253_p2 <= std_logic_vector(shift_right(unsigned(ilb_table_q0),to_integer(unsigned('0' & tmp_33_i1_cast_cast_fu_2249_p1(12 - 1 downto 0)))));
2517
- wd3_fu_1407_p2 <= std_logic_vector(shift_right(unsigned(ilb_table_q0),to_integer(unsigned('0' & tmp_33_i_cast_cast_fu_1403_p1(12 - 1 downto 0)))));
2518
- wd_fu_2117_p4 <= tmp_i8_fu_2111_p2(38 downto 7);
2519
- wl_code_table_address0 <= tmp_16_fu_1239_p1(4 - 1 downto 0);
2520
-
2521
- wl_code_table_ce0_assign_proc : process(ap_cs_fsm_state23)
2522
- begin
2523
- if ((ap_const_logic_1 = ap_cs_fsm_state23)) then
2524
- wl_code_table_ce0 <= ap_const_logic_1;
2525
- else
2526
- wl_code_table_ce0 <= ap_const_logic_0;
2527
- end if;
2528
- end process;
2529
- wl_code_table_load_c_fu_1277_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(wl_code_table_q0),32));
2530
- xa_1_cast_fu_846_p2 <= std_logic_vector(unsigned(tmp_15_fu_836_p1) + unsigned(tmp_fu_833_p1));
2531
- xa_1_fu_840_p2 <= std_logic_vector(signed(tmp_15_cast_fu_830_p1) + signed(xa1_reg_548));
2532
- xa_2_fu_805_p2 <= std_logic_vector(signed(tmp_25_cast_fu_802_p1) + signed(xa1_reg_548));
2533
- xa_cast_fu_722_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(xa_fu_716_p2),50));
2534
- xa_fu_716_p2 <= std_logic_vector(signed(p_shl_cast_fu_700_p1) - signed(p_shl1_cast_fu_712_p1));
2535
- xb_1_cast_fu_900_p2 <= std_logic_vector(unsigned(tmp_17_fu_890_p1) + unsigned(tmp_2_fu_886_p1));
2536
- xb_1_fu_894_p2 <= std_logic_vector(signed(tmp_22_cast_fu_882_p1) + signed(xb1_reg_558));
2537
- xb_2_fu_814_p2 <= std_logic_vector(signed(tmp_28_cast_fu_811_p1) + signed(xb1_reg_558));
2538
- xb_cast_fu_736_p1 <= std_logic_vector(ieee.numeric_std.resize(signed(xb_fu_730_p2),50));
2539
- xb_fu_730_p0 <= reg_688;
2540
- xb_fu_730_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(xb_fu_730_p0) * signed(ap_const_lv39_7fffffffd4))),39));
2541
- zl_1_fu_1074_p2 <= std_logic_vector(unsigned(tmp_53_i_reg_2828) + unsigned(zl1_i_reg_590));
2542
- zl_2_fu_1798_p0 <= delay_bph_load_reg_2971;
2543
- zl_2_fu_1798_p1 <= delay_dhx_load_reg_2976;
2544
- zl_2_fu_1798_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(zl_2_fu_1798_p0) * signed(zl_2_fu_1798_p1))),64));
2545
- zl_3_fu_1880_p2 <= std_logic_vector(unsigned(tmp_53_i1_reg_3014) + unsigned(zl1_i1_reg_623));
2546
- zl_fu_992_p0 <= delay_bpl_load_reg_2785;
2547
- zl_fu_992_p1 <= delay_dltx_load_reg_2790;
2548
- zl_fu_992_p2 <= std_logic_vector(ieee.numeric_std.resize(unsigned(std_logic_vector(signed(zl_fu_992_p0) * signed(zl_fu_992_p1))),64));
2549
- end behav;