axi_tdl 0.0.15 → 0.0.19

Sign up to get free protection for your applications and to get access to all the features.
Files changed (108) hide show
  1. checksums.yaml +4 -4
  2. data/.github/workflows/gem-push.yml +4 -2
  3. data/axi_tdl.gemspec +0 -1
  4. data/lib/axi/AXI4/axi4_direct_B1.sv +23 -23
  5. data/lib/axi/AXI4/axi4_dpram_cache.sv +33 -33
  6. data/lib/axi/AXI4/axis_to_axi4_wr.rb +1 -0
  7. data/lib/axi/AXI4/axis_to_axi4_wr.sv +20 -20
  8. data/lib/axi/AXI4/packet_partition/axi4_partition_rd_verb.sv +32 -32
  9. data/lib/axi/AXI4/packet_partition/data_inf_partition.rb +2 -0
  10. data/lib/axi/AXI4/packet_partition/data_inf_partition.sv +71 -71
  11. data/lib/axi/AXI4/wide_axis_to_axi4_wr.rb +2 -1
  12. data/lib/axi/AXI4/wide_axis_to_axi4_wr.sv +23 -23
  13. data/lib/axi/AXI_stream/axi_stream_split_channel.rb +7 -1
  14. data/lib/axi/AXI_stream/axis_head_cut_verb.sv +6 -2
  15. data/lib/axi/AXI_stream/axis_insert_copy.rb +18 -4
  16. data/lib/axi/AXI_stream/axis_sim_master_model.rb +28 -0
  17. data/lib/axi/AXI_stream/axis_sim_slaver_model.rb +26 -0
  18. data/lib/axi/AXI_stream/axis_sim_verify_by_coe.sv +101 -0
  19. data/lib/axi/AXI_stream/axis_split_channel_verb.rb +2 -0
  20. data/lib/axi/common/common_ram_sim_wrapper.sv +9 -9
  21. data/lib/axi/common/common_ram_wrapper.sv +12 -12
  22. data/lib/axi/data_interface/data_inf_c/data_c_pipe_sync_seam.sv +26 -26
  23. data/lib/axi/data_interface/data_inf_c/data_c_sim_master_model.sv +69 -0
  24. data/lib/axi/data_interface/data_inf_c/data_c_sim_slaver_model.sv +58 -0
  25. data/lib/axi/data_interface/data_inf_c/logic_sim_model.sv +64 -0
  26. data/lib/axi/techbench/tb_axi_stream_split_channel.rb +69 -0
  27. data/lib/axi/techbench/tb_axi_stream_split_channel.sv +149 -0
  28. data/lib/axi/techbench/tb_axis_split_channel_verb.rb +69 -0
  29. data/lib/axi/techbench/tb_axis_split_channel_verb.sv +125 -0
  30. data/lib/axi_tdl.rb +1 -0
  31. data/lib/axi_tdl/version.rb +1 -1
  32. data/lib/tdl/auto_script/autogensdl.rb +16 -5
  33. data/lib/tdl/axi4/axi4_interconnect_verb.rb +4 -2
  34. data/lib/tdl/basefunc.rb +1 -0
  35. data/lib/tdl/class_hdl/hdl_always_comb.rb +4 -3
  36. data/lib/tdl/class_hdl/hdl_always_ff.rb +48 -7
  37. data/lib/tdl/class_hdl/hdl_assign.rb +5 -3
  38. data/lib/tdl/class_hdl/hdl_block_ifelse.rb +11 -9
  39. data/lib/tdl/class_hdl/hdl_foreach.rb +2 -2
  40. data/lib/tdl/class_hdl/hdl_function.rb +4 -2
  41. data/lib/tdl/class_hdl/hdl_generate.rb +5 -4
  42. data/lib/tdl/class_hdl/hdl_initial.rb +11 -10
  43. data/lib/tdl/class_hdl/hdl_module_def.rb +18 -1
  44. data/lib/tdl/class_hdl/hdl_redefine_opertor.rb +35 -14
  45. data/lib/tdl/class_hdl/hdl_struct.rb +1 -1
  46. data/lib/tdl/class_hdl/hdl_verify.rb +1 -1
  47. data/lib/tdl/elements/originclass.rb +6 -1
  48. data/lib/tdl/elements/parameter.rb +1 -1
  49. data/lib/tdl/examples/10_random/exp_random.sv +3 -3
  50. data/lib/tdl/examples/11_test_unit/dve.tcl +155 -2
  51. data/lib/tdl/examples/11_test_unit/exp_test_unit.rb +9 -8
  52. data/lib/tdl/examples/11_test_unit/exp_test_unit.sv +1 -1
  53. data/lib/tdl/examples/11_test_unit/modules/sub_md0.rb +6 -3
  54. data/lib/tdl/examples/11_test_unit/modules/sub_md0.sv +5 -5
  55. data/lib/tdl/examples/11_test_unit/modules/sub_md1.rb +9 -4
  56. data/lib/tdl/examples/11_test_unit/modules/sub_md1.sv +5 -5
  57. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit.sv +1 -2
  58. data/lib/tdl/examples/11_test_unit/tu0.sv +9 -9
  59. data/lib/tdl/examples/11_test_unit/tu1.sv +1 -1
  60. data/lib/tdl/examples/1_define_module/exmple_md.sv +12 -12
  61. data/lib/tdl/examples/2_hdl_class/tmp/always_comb_test.sv +60 -60
  62. data/lib/tdl/examples/2_hdl_class/tmp/always_ff_test.sv +2 -2
  63. data/lib/tdl/examples/2_hdl_class/tmp/case_test.sv +17 -17
  64. data/lib/tdl/examples/2_hdl_class/tmp/head_pkg_module.sv +9 -9
  65. data/lib/tdl/examples/2_hdl_class/tmp/simple_assign_test.sv +1 -1
  66. data/lib/tdl/examples/2_hdl_class/tmp/state_case_test.sv +10 -10
  67. data/lib/tdl/examples/2_hdl_class/tmp/test_foreach.sv +3 -3
  68. data/lib/tdl/examples/2_hdl_class/tmp/test_function.sv +7 -7
  69. data/lib/tdl/examples/2_hdl_class/tmp/test_initial_assert.sv +3 -3
  70. data/lib/tdl/examples/2_hdl_class/tmp/test_module.sv +2 -2
  71. data/lib/tdl/examples/2_hdl_class/tmp/test_module_var.sv +2 -2
  72. data/lib/tdl/examples/2_hdl_class/tmp/test_package.sv +4 -5
  73. data/lib/tdl/examples/2_hdl_class/tmp/test_package2.sv +4 -4
  74. data/lib/tdl/examples/2_hdl_class/tmp/test_struct_function.sv +2 -2
  75. data/lib/tdl/examples/2_hdl_class/tmp/test_vcs_string.sv +1 -1
  76. data/lib/tdl/examples/2_hdl_class/tmp/text_generate.sv +7 -7
  77. data/lib/tdl/examples/4_generate/test_generate.sv +11 -11
  78. data/lib/tdl/examples/5_logic_combin/test_logic_combin.sv +3 -3
  79. data/lib/tdl/examples/7_module_with_package/body_package.sv +3 -4
  80. data/lib/tdl/examples/7_module_with_package/example_pkg.sv +4 -4
  81. data/lib/tdl/examples/7_module_with_package/head_package.sv +3 -4
  82. data/lib/tdl/examples/8_top_module/tb_test_top.sv +1 -1
  83. data/lib/tdl/examples/9_itegration/tb_test_tttop.sv +2 -4
  84. data/lib/tdl/examples/9_itegration/test_tttop.sv +3 -3
  85. data/lib/tdl/exlib/axis_eth_ex.rb +95 -0
  86. data/lib/tdl/exlib/axis_verify.rb +264 -0
  87. data/lib/tdl/exlib/clock_reset_verify.rb +29 -0
  88. data/lib/tdl/exlib/dve_tcl.rb +30 -11
  89. data/lib/tdl/exlib/itegration.rb +15 -3
  90. data/lib/tdl/exlib/logic_verify.rb +88 -0
  91. data/lib/tdl/exlib/test_point.rb +96 -94
  92. data/lib/tdl/exlib/test_point.rb.bak +293 -0
  93. data/lib/tdl/rebuild_ele/ele_base.rb +1 -1
  94. data/lib/tdl/sdlmodule/sdlmodlule_path_db.rb +34 -0
  95. data/lib/tdl/sdlmodule/sdlmodule.rb +18 -14
  96. data/lib/tdl/sdlmodule/sdlmodule_draw.rb +81 -16
  97. data/lib/tdl/sdlmodule/test_unit_module.rb +272 -33
  98. data/lib/tdl/sdlmodule/test_unit_module.rb.bak +143 -0
  99. data/lib/tdl/sdlmodule/top_module.rb +53 -48
  100. data/lib/tdl/sdlmodule/top_module.rb.bak +547 -0
  101. data/lib/tdl/tdl.rb +18 -3
  102. metadata +21 -111
  103. data/lib/axi/AXI_stream/axi_stream_split_channel.sv +0 -149
  104. data/lib/axi/AXI_stream/axis_head_cut_verc.sv +0 -242
  105. data/lib/axi/AXI_stream/axis_insert_copy.sv +0 -66
  106. data/lib/axi/AXI_stream/axis_pipe_sync_seam.sv +0 -48
  107. data/lib/axi/AXI_stream/axis_rom_contect_sim.sv +0 -113
  108. data/lib/axi/AXI_stream/axis_split_channel_verb.sv +0 -62
@@ -21,22 +21,22 @@ module head_pkg_module import test_package::*;(
21
21
  //-------- define ----------------------------------------------------------
22
22
  z_ing y0;
23
23
  z_ing curr_y0;
24
- logic [ $clog2( NUM*8)-1:0] clog2_data ;
24
+ logic [$clog2(NUM*8)-1:0] clog2_data ;
25
25
 
26
26
  //==========================================================================
27
27
  //-------- instance --------------------------------------------------------
28
28
 
29
29
  //==========================================================================
30
30
  //-------- expression ------------------------------------------------------
31
- assign out = NUM;
32
- assign out = data;
33
- assign struct_z_l[8].op[0] = 0;
31
+ assign out = NUM;
32
+ assign out = data;
33
+ assign struct_z_l[8].op[0] = 0;
34
34
 
35
- assign y0.op = 1'b0;
36
- assign y0.op[0] = 1'd0;
37
- assign y0.op[1] = struct_z.op[1];
38
- assign y0.op[y0.op[3:1]] = struct_z.op;
35
+ assign y0.op = 1'b0;
36
+ assign y0.op[0] = 1'd0;
37
+ assign y0.op[1] = struct_z.op[1];
38
+ assign y0.op[y0.op[3:1]] = struct_z.op;
39
39
 
40
- assign curr_y0 = struct_z;
40
+ assign curr_y0 = struct_z;
41
41
 
42
42
  endmodule
@@ -22,6 +22,6 @@ data_inf_c #(.DSIZE(8)) c_inf [2:0][6:0][7:0] (.clock(dclk),.rst_n(drstn)) ;
22
22
 
23
23
  //==========================================================================
24
24
  //-------- expression ------------------------------------------------------
25
- assign tmp1 = ( tmp0[0]&"FALSE" && ( c_inf.data==3 || a_inf.valid|1'b0));
25
+ assign tmp1 = tmp0[0]&"FALSE" &&(c_inf.data==3 ||a_inf.valid|1'b0);
26
26
 
27
27
  endmodule
@@ -37,39 +37,39 @@ SE_STATE_ctrl_state CSTATE_ctrl_state,NSTATE_ctrl_state;
37
37
  always_comb begin
38
38
  case(CSTATE_ctrl_state)
39
39
  IDLE:begin
40
- NSTATE_ctrl_state = EXEC;
40
+ NSTATE_ctrl_state = EXEC;
41
41
  end
42
42
  EXEC:begin
43
43
  if(90)begin
44
- NSTATE_ctrl_state = DONE;
44
+ NSTATE_ctrl_state = DONE;
45
45
  end
46
46
  else begin
47
- NSTATE_ctrl_state = EXEC;
47
+ NSTATE_ctrl_state = EXEC;
48
48
  end
49
49
  end
50
50
  DONE:begin
51
- NSTATE_ctrl_state = IDLE;
51
+ NSTATE_ctrl_state = IDLE;
52
52
  end
53
53
  default:begin
54
- NSTATE_ctrl_state = IDLE;
54
+ NSTATE_ctrl_state = IDLE;
55
55
  end
56
56
  endcase
57
57
  end
58
58
 
59
- always_ff@(posedge clock,negedge rst_n) begin
59
+ always@(posedge clock,negedge rst_n) begin
60
60
  if(~rst_n)begin
61
- a_inf.data <= '0;
61
+ a_inf.data <= '0;
62
62
  end
63
63
  else begin
64
64
  case(NSTATE_ctrl_state)
65
65
  IDLE:begin
66
- a_inf.data <= 8'd9;
66
+ a_inf.data <= 8'd9;
67
67
  end
68
68
  EXEC:begin
69
- a_inf.data <= 8'h12;
69
+ a_inf.data <= 8'h12;
70
70
  end
71
71
  default:begin
72
- a_inf.data <= ~('0);
72
+ a_inf.data <= ~('0);
73
73
  end
74
74
  endcase
75
75
  end
@@ -24,9 +24,9 @@ logic [32-1:0] data[32-1:0] ;
24
24
  //-------- expression ------------------------------------------------------
25
25
  always_comb begin
26
26
  foreach(data[i1])begin
27
- 4-i1;
28
- if( i1==( NUM* 4-i1))begin
29
- data[i1] = '0;
27
+ 4-i1;
28
+ if(i1==(NUM*4-i1))begin
29
+ data[i1] = '0;
30
30
  end
31
31
  end
32
32
  end
@@ -30,23 +30,23 @@ typedef enum {
30
30
  SE_STATE_ctrl CSTATE_ctrl,NSTATE_ctrl;
31
31
  function status(input [7:0] code,output logic [15:0] pl);
32
32
  if(89)begin
33
- gp = code;
33
+ gp = code;
34
34
  end
35
35
  endfunction:status
36
36
 
37
37
  function logic status_xp(input [7:0] code,output logic [15:0] pl);
38
- status_xp = ( ( inm!=0)|( inm!=1));
38
+ status_xp = (inm!=0)|(inm!=1);
39
39
  endfunction:status_xp
40
40
 
41
41
  function SE_STATE_ctrl pre_status(input [7:0] code,output logic [15:0] pl,input SE_STATE_ctrl ll);
42
42
  if(89)begin
43
- gp = code;
44
- pre_status = 0;
43
+ gp = code;
44
+ pre_status = 0;
45
45
  end
46
46
  endfunction:pre_status
47
47
 
48
- assign gp = status(67, gp+1,opop);
49
- assign gp = pre_status();
50
- assign gp = ( ( inm!=0)|( inm!=1));
48
+ assign gp = status(67,gp+1,opop);
49
+ assign gp = pre_status();
50
+ assign gp = (inm!=0)|(inm!=1);
51
51
 
52
52
  endmodule
@@ -22,10 +22,10 @@ logic ppx;
22
22
  //-------- expression ------------------------------------------------------
23
23
  initial begin
24
24
  assert(9)else begin
25
- $error("iiiiiiiiiiiii");
26
- $stop;
25
+ $error("iiiiiiiiiiiii");
26
+ $stop;
27
27
  end
28
- ppx = 1'b0;
28
+ ppx = 1'b0;
29
29
  end
30
30
 
31
31
  endmodule
@@ -21,9 +21,9 @@ module test_module (
21
21
  //==========================================================================
22
22
  //-------- define ----------------------------------------------------------
23
23
  logic [axi_wr_inf.ASIZE-1:0] addr ;
24
- logic [ axi_wr_inf.IDSIZE-4-1:0] id ;
24
+ logic [axi_wr_inf.IDSIZE-4-1:0] id ;
25
25
  logic [24-1:0] length ;
26
- axi_inf #(.DSIZE(axi_wr_inf.DSIZE),.IDSIZE( axi_wr_inf.IDSIZE-4),.ASIZE(axi_wr_inf.ASIZE),.LSIZE(24),.MODE("ONLY_WRITE"),.ADDR_STEP(8192)) pre_axi_wr_inf (.axi_aclk(axi_wr_inf.axi_aclk),.axi_aresetn(axi_wr_inf.axi_aresetn)) ;
26
+ axi_inf #(.DSIZE(axi_wr_inf.DSIZE),.IDSIZE(axi_wr_inf.IDSIZE-4),.ASIZE(axi_wr_inf.ASIZE),.LSIZE(24),.MODE("ONLY_WRITE"),.ADDR_STEP(8192)) pre_axi_wr_inf (.axi_aclk(axi_wr_inf.axi_aclk),.axi_aresetn(axi_wr_inf.axi_aresetn)) ;
27
27
  //==========================================================================
28
28
  //-------- instance --------------------------------------------------------
29
29
  axi_stream_cache_35bit cache_inst(
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-03-20 13:20:54 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -19,7 +19,7 @@ module test_module_var #(
19
19
 
20
20
  //==========================================================================
21
21
  //-------- define ----------------------------------------------------------
22
- localparam ASIZE = 20;
22
+ localparam ASIZE = 20 ;
23
23
  axi_stream_inf #(.DSIZE(8),.USIZE(1)) tmp_axis_inf (.aclk(clock),.aresetn(rst_n),.aclken(1'b1)) ;
24
24
  axi_stream_inf #(.DSIZE(8),.USIZE(1)) tmp_axis0_inf (.aclk(clock),.aresetn(rst_n),.aclken(1'b1)) ;
25
25
  axi_inf #(.DSIZE(32),.IDSIZE(2),.ASIZE(8),.LSIZE(9),.MODE("BOTH"),.ADDR_STEP(4294967295)) tmp_axi4_inf (.axi_aclk(clock),.axi_aresetn(rst_n)) ;
@@ -5,13 +5,12 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-03-20 12:10:27 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
 
12
12
 
13
- package test_package;
14
- parameter NUM = 6;
13
+ module test_packageparameter NUM = 6;();
15
14
  //==========================================================================
16
15
  //-------- define ----------------------------------------------------------
17
16
  typedef struct {
@@ -33,6 +32,6 @@ s_ing s_ing_v1;
33
32
 
34
33
  //==========================================================================
35
34
  //-------- expression ------------------------------------------------------
36
- assign zing_v0.op[9] = 0;
35
+ assign zing_v0.op[9] = 0;
37
36
 
38
- endpackage:test_package
37
+ endmodule
@@ -27,10 +27,10 @@ z_ing y0;
27
27
 
28
28
  //==========================================================================
29
29
  //-------- expression ------------------------------------------------------
30
- assign out = NUM;
31
- assign out = data;
30
+ assign out = NUM;
31
+ assign out = data;
32
32
 
33
- assign y0.op = 0;
34
- assign y0.op[0] = 0;
33
+ assign y0.op = 0;
34
+ assign y0.op[0] = 0;
35
35
 
36
36
  endmodule
@@ -37,8 +37,8 @@ s_map s_map_a1;
37
37
  //==========================================================================
38
38
  //-------- expression ------------------------------------------------------
39
39
  function s_map f_g(input s_map fin);
40
- f_g = fin.op;
41
- f_g.yp = 1;
40
+ f_g = fin.op;
41
+ f_g.yp = 1;
42
42
  endfunction:f_g
43
43
 
44
44
  endmodule
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-03-20 13:24:07 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -15,10 +15,10 @@ module text_generate #(
15
15
  parameter BOARD_TOTAL = 4,
16
16
  parameter WW = "OFF"
17
17
  )(
18
- input [ NUM-1:0] ip_s_addr [32-1:0],
19
- input [ NUM-1:0] ip_d_addr [32-1:0],
20
- input [ NUM-1:0] mac_s_addr [48-1:0],
21
- input [ NUM-1:0] mac_d_addr [48-1:0],
18
+ input [NUM-1:0] ip_s_addr [32-1:0],
19
+ input [NUM-1:0] ip_d_addr [32-1:0],
20
+ input [NUM-1:0] mac_s_addr [48-1:0],
21
+ input [NUM-1:0] mac_d_addr [48-1:0],
22
22
  input [15:0] fpga_udp_ctrl_port,
23
23
  input [15:0] fpga_udp_data_port,
24
24
  input [15:0] fpga_udp_dire_port,
@@ -43,12 +43,12 @@ for(genvar KK0=0;KK0 < NUM;KK0++)begin
43
43
  for(genvar KK1=0;KK1 < 7;KK1++)begin
44
44
  for(genvar KK2=0;KK2 < 6;KK2++)begin
45
45
 
46
- if( KK0==2)begin
47
- assign rx_udp_data_8bit[ 8*1][ 1*2] = "90909";
46
+ if(KK0==2)begin
47
+ assign rx_udp_data_8bit[8*1][1*2] = "90909";
48
48
  end end
49
49
  end
50
50
  end
51
51
  endgenerate
52
- assign mac_s_addr[0][0] = mac_d_addr[0][0];
52
+ assign mac_s_addr[0][0] = mac_d_addr[0][0];
53
53
 
54
54
  endmodule
@@ -13,12 +13,12 @@ madified:
13
13
  module test_generate #(
14
14
  parameter NUM = 8
15
15
  )(
16
- input [7:0] ain,
17
- output [7:0] bout,
18
- input [5:0] cin [NUM-1:0],
19
- output [ NUM-1:0] dout [6-1:0],
20
- input [ NUM-1:0] ein,
21
- output [ NUM-1:0] fout
16
+ input [7:0] ain,
17
+ output [7:0] bout,
18
+ input [5:0] cin [NUM-1:0],
19
+ output [NUM-1:0] dout [6-1:0],
20
+ input [NUM-1:0] ein,
21
+ output [NUM-1:0] fout
22
22
  );
23
23
 
24
24
  //==========================================================================
@@ -32,18 +32,18 @@ module test_generate #(
32
32
  //-------- expression ------------------------------------------------------
33
33
  generate
34
34
  for(genvar KK0=0;KK0 < 8;KK0++)begin
35
- assign bout[ KK0] = ain[ 7-( KK0)];
35
+ assign bout[KK0] = ain[7-(KK0)];
36
36
  end
37
37
  endgenerate
38
38
 
39
39
  generate
40
40
  for(genvar KK0=0;KK0 < NUM;KK0++)begin
41
41
 
42
- if( KK0<4)begin
43
- assign dout[ KK0] = cin[ KK0];
42
+ if(KK0<4)begin
43
+ assign dout[KK0] = cin[KK0];
44
44
  end
45
45
  else begin
46
- assign dout[ KK0] = ( cin[ KK0]+( KK0));
46
+ assign dout[KK0] = cin[KK0]+(KK0);
47
47
  end
48
48
  end
49
49
  endgenerate
@@ -51,7 +51,7 @@ endgenerate
51
51
  generate
52
52
  for(genvar KK0=0;KK0 < NUM;KK0++)begin
53
53
  for(genvar KK1=0;KK1 < 6;KK1++)begin
54
- assign fout[ KK0][ KK1] = ein[ KK1][ KK0];
54
+ assign fout[KK0][KK1] = ein[KK1][KK0];
55
55
  end
56
56
  end
57
57
  endgenerate
@@ -29,8 +29,8 @@ logic [16-1:0] cc[2-1:0] ;
29
29
 
30
30
  //==========================================================================
31
31
  //-------- expression ------------------------------------------------------
32
- assign ca = {a0,a1,a2};
33
- assign cb = {>>{b1,b0}};
34
- assign cc = {<<{c0,c1}};
32
+ assign ca = {a0,a1,a2};
33
+ assign cb = {>>{b1,b0}};
34
+ assign cc = {<<{c0,c1}};
35
35
 
36
36
  endmodule
@@ -5,13 +5,12 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-03-20 12:10:27 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
 
12
12
 
13
- package body_package;
14
- parameter BDSIZE = 10;
13
+ module body_packageparameter BDSIZE = 10;();
15
14
  //==========================================================================
16
15
  //-------- define ----------------------------------------------------------
17
16
 
@@ -22,4 +21,4 @@ parameter BDSIZE = 10;
22
21
  //==========================================================================
23
22
  //-------- expression ------------------------------------------------------
24
23
 
25
- endpackage:body_package
24
+ endmodule
@@ -11,8 +11,8 @@ madified:
11
11
  `timescale 1ns/1ps
12
12
 
13
13
  module example_pkg import head_package::*;(
14
- input [ HDSIZE-1:0] indata,
15
- output logic[31:0] odata
14
+ input [HDSIZE-1:0] indata,
15
+ output logic[31:0] odata
16
16
  );
17
17
 
18
18
  //------>> EX CODE <<-------------------
@@ -29,7 +29,7 @@ s_head ss_head;
29
29
 
30
30
  //==========================================================================
31
31
  //-------- expression ------------------------------------------------------
32
- assign ss_head.idata = 4;
33
- assign ss_head.valid = 1'b1;
32
+ assign ss_head.idata = 4;
33
+ assign ss_head.valid = 1'b1;
34
34
 
35
35
  endmodule
@@ -5,13 +5,12 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-03-20 12:10:27 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
 
12
12
 
13
- package head_package;
14
- parameter HDSIZE = 8;
13
+ module head_packageparameter HDSIZE = 8;();
15
14
  //==========================================================================
16
15
  //-------- define ----------------------------------------------------------
17
16
  typedef struct {
@@ -26,4 +25,4 @@ logic valid;
26
25
  //==========================================================================
27
26
  //-------- expression ------------------------------------------------------
28
27
 
29
- endpackage:head_package
28
+ endmodule
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-03-20 12:10:27 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -5,12 +5,10 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-03-20 12:10:28 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
12
- `timescale 1ns/1ps
13
- `timescale 1ns/1ps
14
12
 
15
13
  module tb_test_tttop();
16
14
  //==========================================================================
@@ -25,7 +23,7 @@ test_tttop rtl_top(
25
23
  //==========================================================================
26
24
  //-------- expression ------------------------------------------------------
27
25
  initial begin
28
- forever begin #(33ns);gl_clk = ~gl_clk;end;
26
+ forever begin #(33ns);gl_clk = ~gl_clk;end;
29
27
  end
30
28
 
31
29
  endmodule
@@ -33,8 +33,8 @@ a_test_md a_test_md_inst(
33
33
  );
34
34
  //==========================================================================
35
35
  //-------- expression ------------------------------------------------------
36
- assign x_origin_inf.axis_tvalid = 1'b0;
37
- assign x_origin_inf.axis_tdata = '0;
38
- assign x_origin_inf.axis_tlast = 1'b0;
36
+ assign x_origin_inf.axis_tvalid = 1'b0;
37
+ assign x_origin_inf.axis_tdata = '0;
38
+ assign x_origin_inf.axis_tlast = 1'b0;
39
39
 
40
40
  endmodule