axi_tdl 0.0.15 → 0.0.19

Sign up to get free protection for your applications and to get access to all the features.
Files changed (108) hide show
  1. checksums.yaml +4 -4
  2. data/.github/workflows/gem-push.yml +4 -2
  3. data/axi_tdl.gemspec +0 -1
  4. data/lib/axi/AXI4/axi4_direct_B1.sv +23 -23
  5. data/lib/axi/AXI4/axi4_dpram_cache.sv +33 -33
  6. data/lib/axi/AXI4/axis_to_axi4_wr.rb +1 -0
  7. data/lib/axi/AXI4/axis_to_axi4_wr.sv +20 -20
  8. data/lib/axi/AXI4/packet_partition/axi4_partition_rd_verb.sv +32 -32
  9. data/lib/axi/AXI4/packet_partition/data_inf_partition.rb +2 -0
  10. data/lib/axi/AXI4/packet_partition/data_inf_partition.sv +71 -71
  11. data/lib/axi/AXI4/wide_axis_to_axi4_wr.rb +2 -1
  12. data/lib/axi/AXI4/wide_axis_to_axi4_wr.sv +23 -23
  13. data/lib/axi/AXI_stream/axi_stream_split_channel.rb +7 -1
  14. data/lib/axi/AXI_stream/axis_head_cut_verb.sv +6 -2
  15. data/lib/axi/AXI_stream/axis_insert_copy.rb +18 -4
  16. data/lib/axi/AXI_stream/axis_sim_master_model.rb +28 -0
  17. data/lib/axi/AXI_stream/axis_sim_slaver_model.rb +26 -0
  18. data/lib/axi/AXI_stream/axis_sim_verify_by_coe.sv +101 -0
  19. data/lib/axi/AXI_stream/axis_split_channel_verb.rb +2 -0
  20. data/lib/axi/common/common_ram_sim_wrapper.sv +9 -9
  21. data/lib/axi/common/common_ram_wrapper.sv +12 -12
  22. data/lib/axi/data_interface/data_inf_c/data_c_pipe_sync_seam.sv +26 -26
  23. data/lib/axi/data_interface/data_inf_c/data_c_sim_master_model.sv +69 -0
  24. data/lib/axi/data_interface/data_inf_c/data_c_sim_slaver_model.sv +58 -0
  25. data/lib/axi/data_interface/data_inf_c/logic_sim_model.sv +64 -0
  26. data/lib/axi/techbench/tb_axi_stream_split_channel.rb +69 -0
  27. data/lib/axi/techbench/tb_axi_stream_split_channel.sv +149 -0
  28. data/lib/axi/techbench/tb_axis_split_channel_verb.rb +69 -0
  29. data/lib/axi/techbench/tb_axis_split_channel_verb.sv +125 -0
  30. data/lib/axi_tdl.rb +1 -0
  31. data/lib/axi_tdl/version.rb +1 -1
  32. data/lib/tdl/auto_script/autogensdl.rb +16 -5
  33. data/lib/tdl/axi4/axi4_interconnect_verb.rb +4 -2
  34. data/lib/tdl/basefunc.rb +1 -0
  35. data/lib/tdl/class_hdl/hdl_always_comb.rb +4 -3
  36. data/lib/tdl/class_hdl/hdl_always_ff.rb +48 -7
  37. data/lib/tdl/class_hdl/hdl_assign.rb +5 -3
  38. data/lib/tdl/class_hdl/hdl_block_ifelse.rb +11 -9
  39. data/lib/tdl/class_hdl/hdl_foreach.rb +2 -2
  40. data/lib/tdl/class_hdl/hdl_function.rb +4 -2
  41. data/lib/tdl/class_hdl/hdl_generate.rb +5 -4
  42. data/lib/tdl/class_hdl/hdl_initial.rb +11 -10
  43. data/lib/tdl/class_hdl/hdl_module_def.rb +18 -1
  44. data/lib/tdl/class_hdl/hdl_redefine_opertor.rb +35 -14
  45. data/lib/tdl/class_hdl/hdl_struct.rb +1 -1
  46. data/lib/tdl/class_hdl/hdl_verify.rb +1 -1
  47. data/lib/tdl/elements/originclass.rb +6 -1
  48. data/lib/tdl/elements/parameter.rb +1 -1
  49. data/lib/tdl/examples/10_random/exp_random.sv +3 -3
  50. data/lib/tdl/examples/11_test_unit/dve.tcl +155 -2
  51. data/lib/tdl/examples/11_test_unit/exp_test_unit.rb +9 -8
  52. data/lib/tdl/examples/11_test_unit/exp_test_unit.sv +1 -1
  53. data/lib/tdl/examples/11_test_unit/modules/sub_md0.rb +6 -3
  54. data/lib/tdl/examples/11_test_unit/modules/sub_md0.sv +5 -5
  55. data/lib/tdl/examples/11_test_unit/modules/sub_md1.rb +9 -4
  56. data/lib/tdl/examples/11_test_unit/modules/sub_md1.sv +5 -5
  57. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit.sv +1 -2
  58. data/lib/tdl/examples/11_test_unit/tu0.sv +9 -9
  59. data/lib/tdl/examples/11_test_unit/tu1.sv +1 -1
  60. data/lib/tdl/examples/1_define_module/exmple_md.sv +12 -12
  61. data/lib/tdl/examples/2_hdl_class/tmp/always_comb_test.sv +60 -60
  62. data/lib/tdl/examples/2_hdl_class/tmp/always_ff_test.sv +2 -2
  63. data/lib/tdl/examples/2_hdl_class/tmp/case_test.sv +17 -17
  64. data/lib/tdl/examples/2_hdl_class/tmp/head_pkg_module.sv +9 -9
  65. data/lib/tdl/examples/2_hdl_class/tmp/simple_assign_test.sv +1 -1
  66. data/lib/tdl/examples/2_hdl_class/tmp/state_case_test.sv +10 -10
  67. data/lib/tdl/examples/2_hdl_class/tmp/test_foreach.sv +3 -3
  68. data/lib/tdl/examples/2_hdl_class/tmp/test_function.sv +7 -7
  69. data/lib/tdl/examples/2_hdl_class/tmp/test_initial_assert.sv +3 -3
  70. data/lib/tdl/examples/2_hdl_class/tmp/test_module.sv +2 -2
  71. data/lib/tdl/examples/2_hdl_class/tmp/test_module_var.sv +2 -2
  72. data/lib/tdl/examples/2_hdl_class/tmp/test_package.sv +4 -5
  73. data/lib/tdl/examples/2_hdl_class/tmp/test_package2.sv +4 -4
  74. data/lib/tdl/examples/2_hdl_class/tmp/test_struct_function.sv +2 -2
  75. data/lib/tdl/examples/2_hdl_class/tmp/test_vcs_string.sv +1 -1
  76. data/lib/tdl/examples/2_hdl_class/tmp/text_generate.sv +7 -7
  77. data/lib/tdl/examples/4_generate/test_generate.sv +11 -11
  78. data/lib/tdl/examples/5_logic_combin/test_logic_combin.sv +3 -3
  79. data/lib/tdl/examples/7_module_with_package/body_package.sv +3 -4
  80. data/lib/tdl/examples/7_module_with_package/example_pkg.sv +4 -4
  81. data/lib/tdl/examples/7_module_with_package/head_package.sv +3 -4
  82. data/lib/tdl/examples/8_top_module/tb_test_top.sv +1 -1
  83. data/lib/tdl/examples/9_itegration/tb_test_tttop.sv +2 -4
  84. data/lib/tdl/examples/9_itegration/test_tttop.sv +3 -3
  85. data/lib/tdl/exlib/axis_eth_ex.rb +95 -0
  86. data/lib/tdl/exlib/axis_verify.rb +264 -0
  87. data/lib/tdl/exlib/clock_reset_verify.rb +29 -0
  88. data/lib/tdl/exlib/dve_tcl.rb +30 -11
  89. data/lib/tdl/exlib/itegration.rb +15 -3
  90. data/lib/tdl/exlib/logic_verify.rb +88 -0
  91. data/lib/tdl/exlib/test_point.rb +96 -94
  92. data/lib/tdl/exlib/test_point.rb.bak +293 -0
  93. data/lib/tdl/rebuild_ele/ele_base.rb +1 -1
  94. data/lib/tdl/sdlmodule/sdlmodlule_path_db.rb +34 -0
  95. data/lib/tdl/sdlmodule/sdlmodule.rb +18 -14
  96. data/lib/tdl/sdlmodule/sdlmodule_draw.rb +81 -16
  97. data/lib/tdl/sdlmodule/test_unit_module.rb +272 -33
  98. data/lib/tdl/sdlmodule/test_unit_module.rb.bak +143 -0
  99. data/lib/tdl/sdlmodule/top_module.rb +53 -48
  100. data/lib/tdl/sdlmodule/top_module.rb.bak +547 -0
  101. data/lib/tdl/tdl.rb +18 -3
  102. metadata +21 -111
  103. data/lib/axi/AXI_stream/axi_stream_split_channel.sv +0 -149
  104. data/lib/axi/AXI_stream/axis_head_cut_verc.sv +0 -242
  105. data/lib/axi/AXI_stream/axis_insert_copy.sv +0 -66
  106. data/lib/axi/AXI_stream/axis_pipe_sync_seam.sv +0 -48
  107. data/lib/axi/AXI_stream/axis_rom_contect_sim.sv +0 -113
  108. data/lib/axi/AXI_stream/axis_split_channel_verb.sv +0 -62
@@ -22,17 +22,18 @@ TopModule.exp_test_unit(__dir__) do
22
22
  end
23
23
 
24
24
  ## CREATE TEST POINT
25
- axis_data_inf.create_tp(' top test point',__FILE__,__LINE__) - 'axis_data_inf'
25
+ # axis_data_inf.create_tp(' top test point',__FILE__,__LINE__) - 'axis_data_inf'
26
+ axis_data_inf.tracked_by_dve
26
27
 
27
28
  TdlTestUnit.tu0(__dir__) do
28
- add_to_dve_wave TdlTestPoint.sub_md1.enable_tp
29
- add_to_dve_wave(TdlTestPoint.sub_md0.tp_axis_in)
30
- add_to_dve_wave(TdlTestPoint.sub_md1.tp_inter_tf)
29
+ # add_to_dve_wave TdlTestPoint.sub_md1.enable_tp
30
+ # add_to_dve_wave(TdlTestPoint.sub_md0.tp_axis_in)
31
+ # add_to_dve_wave(TdlTestPoint.sub_md1.tp_inter_tf)
31
32
 
32
33
  test_unit_init do
33
- TdlTestPoint.sub_md1.enable_tp.root_ref <= 1.b1
34
+ sub_md1.enable <= 1.b1
34
35
  initial_exec("#(1us)")
35
- TdlTestPoint.sub_md1.enable_tp.root_ref <= 1.b0
36
+ sub_md1.enable <= 1.b0
36
37
  initial_exec("#(500us)")
37
38
  end
38
39
 
@@ -40,8 +41,8 @@ TopModule.exp_test_unit(__dir__) do
40
41
 
41
42
  TdlTestUnit.tu1(__dir__) do
42
43
  # puts TdlTestPoint.sub_md0.tp_cnt.path_refs
43
- add_to_dve_wave(TdlTestPoint.sub_md0.tp_cnt)
44
- add_to_dve_wave(TdlTestPoint.sub_md1.tp_cnt)
44
+ # add_to_dve_wave(TdlTestPoint.sub_md0.tp_cnt)
45
+ # add_to_dve_wave(TdlTestPoint.sub_md1.tp_cnt)
45
46
  end
46
47
 
47
48
  add_test_unit('tu0','tu1')
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-03-20 12:08:01 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -17,7 +17,10 @@ TdlBuild.sub_md0(__dir__) do
17
17
  end
18
18
 
19
19
  ## CREATE TEST POINT
20
- cnt.create_tp('count test point') - 'tp_cnt'
21
- axis_in.create_tp('test point of axis_in',__FILE__,__LINE__) - 'tp_axis_in'
22
- inter_tf.create_tp('inner test point',__FILE__,__LINE__) - 'tp_inter_tf'
20
+ # cnt.create_tp('count test point') - 'tp_cnt'
21
+ # axis_in.create_tp('test point of axis_in',__FILE__,__LINE__) - 'tp_axis_in'
22
+ # inter_tf.create_tp('inner test point',__FILE__,__LINE__) - 'tp_inter_tf'
23
+ cnt.tracked_by_dve(:logic)
24
+ axis_in.tracked_by_dve(:interface)
25
+ inter_tf.tracked_by_dve
23
26
  end
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-03-20 12:08:00 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -26,15 +26,15 @@ data_inf_c #(.DSIZE(8)) inter_tf (.clock(clock),.rst_n(rst_n)) ;
26
26
 
27
27
  //==========================================================================
28
28
  //-------- expression ------------------------------------------------------
29
- assign clock = axis_in.aclk;
30
- assign rst_n = axis_in.aresetn;
29
+ assign clock = axis_in.aclk;
30
+ assign rst_n = axis_in.aresetn;
31
31
 
32
32
  always_ff@(posedge clock,negedge rst_n) begin
33
33
  if(~rst_n)begin
34
- cnt <= '0;
34
+ cnt <= '0;
35
35
  end
36
36
  else begin
37
- cnt <= ( cnt+1'b1);
37
+ cnt <= (cnt+1'b1);
38
38
  end
39
39
  end
40
40
 
@@ -17,9 +17,14 @@ TdlBuild.sub_md1(__dir__) do
17
17
  end
18
18
 
19
19
  ## CREATE TEST POINT
20
- cnt.create_tp('count test point',__FILE__,__LINE__) - 'tp_cnt'
21
- axis_out.create_tp('test point of axis_out',__FILE__,__LINE__) - 'tp_axis_out'
22
- inter_tf.create_tp('inner test point',__FILE__,__LINE__) - 'tp_inter_tf'
23
- enable.create_tp('enable driver',__FILE__,__LINE__) - 'enable_tp'
20
+ # cnt.create_tp('count test point',__FILE__,__LINE__) - 'tp_cnt'
21
+ # axis_out.create_tp('test point of axis_out',__FILE__,__LINE__) - 'tp_axis_out'
22
+ # inter_tf.create_tp('inner test point',__FILE__,__LINE__) - 'tp_inter_tf'
23
+ # enable.create_tp('enable driver',__FILE__,__LINE__) - 'enable_tp'
24
+
25
+ cnt.tracked_by_dve
26
+ axis_out.tracked_by_dve
27
+ inter_tf.tracked_by_dve(:inner)
28
+ enable.tracked_by_dve
24
29
 
25
30
  end
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-03-20 12:08:00 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -26,15 +26,15 @@ data_inf_c #(.DSIZE(8)) inter_tf (.clock(clock),.rst_n(rst_n)) ;
26
26
 
27
27
  //==========================================================================
28
28
  //-------- expression ------------------------------------------------------
29
- assign clock = axis_out.aclk;
30
- assign rst_n = axis_out.aresetn;
29
+ assign clock = axis_out.aclk;
30
+ assign rst_n = axis_out.aresetn;
31
31
 
32
32
  always_ff@(posedge clock,negedge rst_n) begin
33
33
  if(~rst_n)begin
34
- cnt <= '0;
34
+ cnt <= '0;
35
35
  end
36
36
  else begin
37
- cnt <= ( cnt+1'b1);
37
+ cnt <= (cnt+1'b1);
38
38
  end
39
39
  end
40
40
 
@@ -5,11 +5,10 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-03-20 12:08:01 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
12
- `timescale 1ns/1ps
13
12
 
14
13
  module tb_exp_test_unit();
15
14
  //==========================================================================
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-03-20 12:08:00 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -25,14 +25,14 @@ module tu0 (
25
25
  //==========================================================================
26
26
  //-------- expression ------------------------------------------------------
27
27
  initial begin
28
- to_down_pass = 1'b0;
29
- wait(from_up_pass);
30
- $root.tb_exp_test_unit.test_unit_region = "tu0";
31
- $root.tb_exp_test_unit.rtl_top.sub_md1_inst.enable = 1'b1;
32
- #(1us);
33
- $root.tb_exp_test_unit.rtl_top.sub_md1_inst.enable = 1'b0;
34
- #(500us);
35
- to_down_pass = 1'b1;
28
+ to_down_pass = 1'b0;
29
+ wait(from_up_pass);
30
+ $root.tb_exp_test_unit.test_unit_region = "tu0";
31
+ $root.tb_exp_test_unit.rtl_top.sub_md1_inst.enable = 1'b1;
32
+ #(1us);
33
+ $root.tb_exp_test_unit.rtl_top.sub_md1_inst.enable = 1'b0;
34
+ #(500us);
35
+ to_down_pass = 1'b1;
36
36
  end
37
37
 
38
38
  endmodule
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: xxxx.xx.xx
8
+ created: 2021-03-20 12:08:00 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -14,13 +14,13 @@ module exmple_md #(
14
14
  parameter DSIZE = 8,
15
15
  parameter real MK = 1.1
16
16
  )(
17
- input insdata,
18
- output outsdata,
19
- input [7:0] inpdata,
20
- output [15:0] outpdata,
21
- output logic[ DSIZE-1:0] ldata,
22
- input clock,
23
- input rst_n
17
+ input insdata,
18
+ output outsdata,
19
+ input [7:0] inpdata,
20
+ output [15:0] outpdata,
21
+ output logic[DSIZE-1:0] ldata,
22
+ input clock,
23
+ input rst_n
24
24
  );
25
25
 
26
26
  //==========================================================================
@@ -32,18 +32,18 @@ logic [6-1:0] tmp_data[9-1:0][7-1:0] ;
32
32
 
33
33
  //==========================================================================
34
34
  //-------- expression ------------------------------------------------------
35
- assign outsdata = insdata;
35
+ assign outsdata = insdata;
36
36
 
37
37
  always_comb begin
38
- outpdata[8:0] = inpdata;
38
+ outpdata[8:0] = inpdata;
39
39
  end
40
40
 
41
- always_ff@(posedge clock,negedge rst_n) begin
41
+ always@(posedge clock,negedge rst_n) begin
42
42
  if(~rst_n)begin
43
- ldata <= '0;
43
+ ldata <= '0;
44
44
  end
45
45
  else begin
46
- ldata[ DSIZE-1:0] <= ( outpdata[7:0]+insdata);
46
+ ldata[DSIZE-1:0] <= (outpdata[7:0]+insdata);
47
47
  end
48
48
  end
49
49
 
@@ -23,85 +23,85 @@ data_inf_c #(.DSIZE(18)) c_inf [2:0][6:0][7:0] (.clock(dclk),.rst_n(drstn)) ;
23
23
  //==========================================================================
24
24
  //-------- expression ------------------------------------------------------
25
25
  always_comb begin
26
- tmp1 = a_inf.data[ 6-1];
27
- a_inf.valid = 1;
26
+ tmp1 = a_inf.data[6-1];
27
+ a_inf.valid = 1;
28
28
  if(0)begin
29
- tmp1 = 90;
30
- tmp1 = a_inf.data[ 6-1];
31
- a_inf.valid = 1;
32
- a_inf.data[6:3] = ( 12+( a_inf.data[6:0]+tmp1));
33
- a_inf.data[6:3] = ( 12+( tmp1+a_inf.data[6:0]));
34
- a_inf.data = ( 12+12);
35
- "90"+"0";
29
+ tmp1 = 90;
30
+ tmp1 = a_inf.data[6-1];
31
+ a_inf.valid = 1;
32
+ a_inf.data[6:3] = 12+(a_inf.data[6:0]+tmp1);
33
+ a_inf.data[6:3] = 12+(tmp1+a_inf.data[6:0]);
34
+ a_inf.data = 12+12;
35
+ "90"+"0";
36
36
  end
37
37
  else if(1)begin
38
- c_inf[0][0][1].valid = 1;
39
- c_inf[0][0][1].data = 0;
40
- c_inf[0][0][1].data[0] = 3;
41
- c_inf[0][0][1].data[0] = 3<= 7;
42
- c_inf.data[0][0][0] = 0;
38
+ c_inf[0][0][1].valid = 1;
39
+ c_inf[0][0][1].data = 0;
40
+ c_inf[0][0][1].data[0] = 3;
41
+ c_inf[0][0][1].data[0] = 3<=7;
42
+ c_inf.data[0][0][0] = 0;
43
43
  end
44
44
  else begin
45
- c_inf[0][0][1].valid = 1;
46
- c_inf[0][0][1].data = 0;
47
- c_inf[0][0][1].data[0] = 3;
48
- c_inf[0][0][1].data[0] = 3<= 7;
49
- c_inf.data[0][0][0] = 0;
45
+ c_inf[0][0][1].valid = 1;
46
+ c_inf[0][0][1].data = 0;
47
+ c_inf[0][0][1].data[0] = 3;
48
+ c_inf[0][0][1].data[0] = 3<=7;
49
+ c_inf.data[0][0][0] = 0;
50
50
  end
51
51
  end
52
52
 
53
53
  always_comb begin
54
- tmp1 = a_inf.data[ 6-1];
55
- a_inf.valid = 1;
54
+ tmp1 = a_inf.data[6-1];
55
+ a_inf.valid = 1;
56
56
  if(tmp1)begin
57
- tmp1 = 90;
58
- tmp1 = a_inf.data[ 6-1];
59
- a_inf.valid = 1;
60
- a_inf.data[6:3] = ( 12+( a_inf.data[6:0]+tmp1));
61
- a_inf.data[6:3] = ( 12+( tmp1+a_inf.data[6:0]));
62
- a_inf.data = ( 12+12);
63
- "90"+"0";
57
+ tmp1 = 90;
58
+ tmp1 = a_inf.data[6-1];
59
+ a_inf.valid = 1;
60
+ a_inf.data[6:3] = 12+(a_inf.data[6:0]+tmp1);
61
+ a_inf.data[6:3] = 12+(tmp1+a_inf.data[6:0]);
62
+ a_inf.data = 12+12;
63
+ "90"+"0";
64
64
  if(9999)begin
65
- a_inf.valid = 1;
66
- a_inf.data[6:3] = ( 12+( a_inf.data[6:0]+tmp1));
67
- a_inf.data[6:3] = ( 12+( tmp1+a_inf.data[6:0]));
68
- a_inf.data = ( 12+12);
65
+ a_inf.valid = 1;
66
+ a_inf.data[6:3] = 12+(a_inf.data[6:0]+tmp1);
67
+ a_inf.data[6:3] = 12+(tmp1+a_inf.data[6:0]);
68
+ a_inf.data = 12+12;
69
69
  end
70
70
  end
71
- else if( tmp1>1)begin
72
- c_inf[0][0][1].valid = 1;
73
- c_inf[0][0][1].data = 0;
74
- c_inf[0][0][1].data[0] = 3;
75
- c_inf[0][0][1].data[0] = 3<= 7;
76
- c_inf.data[0][0][0] = 0;
71
+ else if(tmp1>1)begin
72
+ c_inf[0][0][1].valid = 1;
73
+ c_inf[0][0][1].data = 0;
74
+ c_inf[0][0][1].data[0] = 3;
75
+ c_inf[0][0][1].data[0] = 3<=7;
76
+ c_inf.data[0][0][0] = 0;
77
77
  end
78
78
  else if(~tmp1)begin
79
- c_inf[0][0][1].valid = 1;
80
- c_inf[0][0][1].data = 0;
81
- c_inf[0][0][1].data[0] = 3;
82
- c_inf[0][0][1].data[0] = 3<= 7;
83
- c_inf.data[0][0][0] = 0;
79
+ c_inf[0][0][1].valid = 1;
80
+ c_inf[0][0][1].data = 0;
81
+ c_inf[0][0][1].data[0] = 3;
82
+ c_inf[0][0][1].data[0] = 3<=7;
83
+ c_inf.data[0][0][0] = 0;
84
84
  end
85
- else if( tmp1>c_inf[0][0][1].data)begin
86
- c_inf[0][0][1].valid = 1;
87
- c_inf[0][0][1].data = 0;
88
- c_inf[0][0][1].data[0] = 3;
89
- c_inf[0][0][1].data[0] = 3<= 7;
90
- c_inf.data[0][0][0] = 0;
85
+ else if(tmp1>c_inf[0][0][1].data)begin
86
+ c_inf[0][0][1].valid = 1;
87
+ c_inf[0][0][1].data = 0;
88
+ c_inf[0][0][1].data[0] = 3;
89
+ c_inf[0][0][1].data[0] = 3<=7;
90
+ c_inf.data[0][0][0] = 0;
91
91
  end
92
- else if( c_inf[0][0][1].data+tmp0[0][0][0])begin
93
- c_inf[0][0][1].valid = 1;
94
- c_inf[0][0][1].data = 0;
95
- c_inf[0][0][1].data[0] = 3;
96
- c_inf[0][0][1].data[0] = 3<= 7;
97
- c_inf.data[0][0][0] = 0;
92
+ else if(c_inf[0][0][1].data+tmp0[0][0][0])begin
93
+ c_inf[0][0][1].valid = 1;
94
+ c_inf[0][0][1].data = 0;
95
+ c_inf[0][0][1].data[0] = 3;
96
+ c_inf[0][0][1].data[0] = 3<=7;
97
+ c_inf.data[0][0][0] = 0;
98
98
  end
99
99
  else begin
100
- c_inf[0][0][1].valid = 1;
101
- c_inf[0][0][1].data = 0;
102
- c_inf[0][0][1].data[0] = 3;
103
- c_inf[0][0][1].data[0] = 3<= 7;
104
- c_inf.data[0][0][0] = 0;
100
+ c_inf[0][0][1].valid = 1;
101
+ c_inf[0][0][1].data = 0;
102
+ c_inf[0][0][1].data[0] = 3;
103
+ c_inf[0][0][1].data[0] = 3<=7;
104
+ c_inf.data[0][0][0] = 0;
105
105
  end
106
106
  end
107
107
 
@@ -28,8 +28,8 @@ data_inf_c #(.DSIZE(8)) c_inf [2:0][6:0][7:0] (.clock(dclk),.rst_n(drstn)) ;
28
28
 
29
29
  //==========================================================================
30
30
  //-------- expression ------------------------------------------------------
31
- always_ff@(posedge clock,negedge rst_n) begin
32
- ( 32*2- 5)-6;
31
+ always@(posedge clock,negedge rst_n) begin
32
+ (32*2-5)-6;
33
33
  end
34
34
 
35
35
  endmodule
@@ -28,38 +28,38 @@ data_inf_c #(.DSIZE(8)) c_inf [2:0][6:0][7:0] (.clock(dclk),.rst_n(drstn)) ;
28
28
 
29
29
  //==========================================================================
30
30
  //-------- expression ------------------------------------------------------
31
- always_ff@(posedge clock) begin
31
+ always@(posedge clock) begin
32
32
  case(tmp0)
33
33
  a_inf.data:begin
34
34
  if(90)begin
35
- tmp1 <= 0;
35
+ tmp1 <= 0;
36
36
  end
37
37
  else begin
38
- tmp1 <= 1;
38
+ tmp1 <= 1;
39
39
  end
40
40
  end
41
41
  1,2:begin
42
42
  if(90)begin
43
- tmp1 <= 0;
43
+ tmp1 <= 0;
44
44
  end
45
45
  else begin
46
- tmp1 <= 1;
46
+ tmp1 <= 1;
47
47
  end
48
48
  end
49
49
  c_inf[0][1][2].data,2:begin
50
50
  if(c_inf[0][1][2].valid)begin
51
- tmp1 <= 0;
51
+ tmp1 <= 0;
52
52
  end
53
53
  else begin
54
- tmp1 <= 1;
54
+ tmp1 <= 1;
55
55
  end
56
56
  end
57
57
  default:begin
58
58
  if(909)begin
59
- tmp1 <= 0;
59
+ tmp1 <= 0;
60
60
  end
61
61
  else begin
62
- tmp1 <= 1;
62
+ tmp1 <= 1;
63
63
  end
64
64
  end
65
65
  endcase
@@ -69,34 +69,34 @@ always_comb begin
69
69
  case(tmp0)
70
70
  a_inf.data:begin
71
71
  if(90)begin
72
- tmp1 = 0;
72
+ tmp1 = 0;
73
73
  end
74
74
  else begin
75
- tmp1 = 1;
75
+ tmp1 = 1;
76
76
  end
77
77
  end
78
78
  1,2:begin
79
79
  if(90)begin
80
- tmp1 = 0;
80
+ tmp1 = 0;
81
81
  end
82
82
  else begin
83
- tmp1 = 1;
83
+ tmp1 = 1;
84
84
  end
85
85
  end
86
86
  c_inf[0][1][2].data,2:begin
87
87
  if(c_inf[0][1][2].valid)begin
88
- tmp1 = 0;
88
+ tmp1 = 0;
89
89
  end
90
90
  else begin
91
- tmp1 = 1;
91
+ tmp1 = 1;
92
92
  end
93
93
  end
94
94
  default:begin
95
95
  if(909)begin
96
- tmp1 = 0;
96
+ tmp1 = 0;
97
97
  end
98
98
  else begin
99
- tmp1 = 1;
99
+ tmp1 = 1;
100
100
  end
101
101
  end
102
102
  endcase