axi_tdl 0.1.1 → 0.1.3

Sign up to get free protection for your applications and to get access to all the features.
Files changed (111) hide show
  1. checksums.yaml +4 -4
  2. data/Rakefile +7 -0
  3. data/lib/axi/AXI4/axi4_direct_A1.sv +1 -1
  4. data/lib/axi/AXI4/axi4_direct_verc.sv +54 -54
  5. data/lib/axi/AXI4/axi4_dpram_cache.sv +2 -2
  6. data/lib/axi/AXI4/axis_to_axi4_wr.sv +8 -8
  7. data/lib/axi/AXI4/odata_pool_axi4_A3.sv +7 -0
  8. data/lib/axi/AXI4/packet_partition/axi4_partition_rd_verb.sv +1 -1
  9. data/lib/axi/AXI4/packet_partition/data_inf_partition.sv +2 -2
  10. data/lib/axi/AXI4/wide_axis_to_axi4_wr.sv +8 -8
  11. data/lib/axi/AXI_stream/axi_stream_split_channel.sv +154 -0
  12. data/lib/axi/AXI_stream/axis_head_cut_verc.sv +242 -0
  13. data/lib/axi/AXI_stream/axis_insert_copy.sv +79 -0
  14. data/lib/axi/AXI_stream/axis_pipe_sync_seam.sv +48 -0
  15. data/lib/axi/AXI_stream/axis_rom_contect_sim.sv +113 -0
  16. data/lib/axi/AXI_stream/axis_sim_master_model.rb +2 -0
  17. data/lib/axi/AXI_stream/axis_sim_master_model.sv +46 -0
  18. data/lib/axi/AXI_stream/axis_split_channel_verb.sv +62 -0
  19. data/lib/axi/common/common_ram_sim_wrapper.sv +1 -1
  20. data/lib/axi/common/common_ram_wrapper.sv +1 -1
  21. data/lib/axi/data_interface/data_inf_c/data_c_pipe_sync_seam.sv +11 -11
  22. data/lib/axi/data_interface/data_inf_c/data_c_sim_master_model.sv +14 -11
  23. data/lib/axi/platform_ip/fifo_36kb_long.sv +1 -1
  24. data/lib/axi/techbench/tb_axi_stream_split_channel.rb +1 -1
  25. data/lib/axi/techbench/tb_axi_stream_split_channel.sv +46 -45
  26. data/lib/axi_tdl/version.rb +1 -1
  27. data/lib/public_atom_module/CheckPClock.sv +53 -0
  28. data/lib/public_atom_module/LICENSE.md +674 -0
  29. data/lib/public_atom_module/altera_xilinx_always_block_sw.rb +57 -0
  30. data/lib/public_atom_module/bits_decode.sv +71 -0
  31. data/lib/public_atom_module/bits_decode_verb.sv +71 -0
  32. data/lib/public_atom_module/bits_decode_verb_sdl.rb +24 -0
  33. data/lib/public_atom_module/broaden.v +43 -0
  34. data/lib/public_atom_module/broaden_and_cross_clk.v +47 -0
  35. data/lib/public_atom_module/ceiling.v +39 -0
  36. data/lib/public_atom_module/ceiling_A1.v +42 -0
  37. data/lib/public_atom_module/clock_rst.sv +64 -0
  38. data/lib/public_atom_module/cross_clk_sync.v +37 -0
  39. data/lib/public_atom_module/edge_generator.v +50 -0
  40. data/lib/public_atom_module/flooring.v +36 -0
  41. data/lib/public_atom_module/latch_data.v +30 -0
  42. data/lib/public_atom_module/latency.v +48 -0
  43. data/lib/public_atom_module/latency_dynamic.v +83 -0
  44. data/lib/public_atom_module/latency_long.v +84 -0
  45. data/lib/public_atom_module/latency_verb.v +52 -0
  46. data/lib/public_atom_module/once_event.sv +65 -0
  47. data/lib/public_atom_module/pipe_reg.v +93 -0
  48. data/lib/public_atom_module/pipe_reg_2write_ports.v +84 -0
  49. data/lib/public_atom_module/sim/clock_rst_verb.sv +54 -0
  50. data/lib/public_atom_module/sim/latency_long_tb.sv +49 -0
  51. data/lib/public_atom_module/sim/latency_long_tb.sv.bak +49 -0
  52. data/lib/tdl/Logic/logic_edge.rb +1 -1
  53. data/lib/tdl/axi4/axi4_interconnect_verb.rb +46 -9
  54. data/lib/tdl/class_hdl/hdl_always_comb.rb +4 -1
  55. data/lib/tdl/class_hdl/hdl_always_ff.rb +2 -2
  56. data/lib/tdl/class_hdl/hdl_assign.rb +7 -1
  57. data/lib/tdl/class_hdl/hdl_block_ifelse.rb +7 -7
  58. data/lib/tdl/class_hdl/hdl_foreach.rb +2 -2
  59. data/lib/tdl/class_hdl/hdl_function.rb +4 -4
  60. data/lib/tdl/class_hdl/hdl_generate.rb +4 -1
  61. data/lib/tdl/class_hdl/hdl_initial.rb +25 -3
  62. data/lib/tdl/class_hdl/hdl_module_def.rb +9 -6
  63. data/lib/tdl/class_hdl/hdl_package.rb +45 -0
  64. data/lib/tdl/class_hdl/hdl_redefine_opertor.rb +99 -27
  65. data/lib/tdl/class_hdl/hdl_struct.rb +2 -2
  66. data/lib/tdl/elements/Reset.rb +5 -9
  67. data/lib/tdl/elements/clock.rb +5 -9
  68. data/lib/tdl/elements/data_inf.rb +0 -17
  69. data/lib/tdl/elements/logic.rb +9 -31
  70. data/lib/tdl/elements/mail_box.rb +6 -1
  71. data/lib/tdl/elements/originclass.rb +17 -47
  72. data/lib/tdl/elements/parameter.rb +5 -6
  73. data/lib/tdl/examples/11_test_unit/dve.tcl +6 -153
  74. data/lib/tdl/examples/11_test_unit/exp_test_unit.sv +1 -1
  75. data/lib/tdl/examples/11_test_unit/modules/sub_md0.sv +1 -1
  76. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit.sv +1 -3
  77. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit_sim.sv +1 -2
  78. data/lib/tdl/examples/11_test_unit/tu0.sv +1 -1
  79. data/lib/tdl/examples/1_define_module/exmple_md.sv +1 -1
  80. data/lib/tdl/examples/2_hdl_class/tmp/module_instance_test.sv +1 -1
  81. data/lib/tdl/examples/2_hdl_class/tmp/test_inst_sugar.sv +1 -1
  82. data/lib/tdl/examples/2_hdl_class/tmp/test_module.sv +3 -3
  83. data/lib/tdl/examples/2_hdl_class/tmp/test_module_port.sv +1 -1
  84. data/lib/tdl/examples/2_hdl_class/tmp/test_module_var.sv +1 -1
  85. data/lib/tdl/examples/2_hdl_class/tmp/test_package.sv +4 -3
  86. data/lib/tdl/examples/2_hdl_class/tmp/test_vcs_string.sv +1 -1
  87. data/lib/tdl/examples/3_hdl_sdl_instance/main_md.sv +1 -1
  88. data/lib/tdl/examples/3_hdl_sdl_instance/sdl_md.sv +1 -1
  89. data/lib/tdl/examples/6_module_with_interface/inf_collect.sv +1 -1
  90. data/lib/tdl/examples/7_module_with_package/body_package.sv +4 -3
  91. data/lib/tdl/examples/7_module_with_package/example_pkg.sv +1 -1
  92. data/lib/tdl/examples/7_module_with_package/head_package.sv +4 -3
  93. data/lib/tdl/examples/8_top_module/dve.tcl +2 -155
  94. data/lib/tdl/examples/8_top_module/tb_test_top.sv +1 -1
  95. data/lib/tdl/examples/8_top_module/tb_test_top_sim.sv +1 -1
  96. data/lib/tdl/examples/8_top_module/test_top.sv +1 -1
  97. data/lib/tdl/examples/9_itegration/dve.tcl +2 -155
  98. data/lib/tdl/examples/9_itegration/tb_test_tttop.sv +3 -1
  99. data/lib/tdl/examples/9_itegration/tb_test_tttop_sim.sv +1 -1
  100. data/lib/tdl/examples/9_itegration/test_tttop.sv +1 -1
  101. data/lib/tdl/exlib/axis_verify.rb +4 -3
  102. data/lib/tdl/rebuild_ele/ele_base.rb +8 -8
  103. data/lib/tdl/sdlmodule/sdlmodule.rb +61 -51
  104. data/lib/tdl/sdlmodule/sdlmodule_arraychain.rb +1 -1
  105. data/lib/tdl/sdlmodule/sdlmodule_instance.rb +3 -0
  106. data/lib/tdl/sdlmodule/sdlmodule_port_define.rb +6 -6
  107. data/lib/tdl/sdlmodule/sdlmodule_varible.rb +6 -6
  108. data/lib/tdl/sdlmodule/test_unit_module.rb +5 -0
  109. data/lib/tdl/tdlerror/tdlerror.rb +1 -1
  110. metadata +34 -3
  111. data/lib/axi/AXI_stream/axis_length_split_writh_user.sv +0 -87
@@ -88,7 +88,7 @@ module TdlSpace
88
88
  dimension = []
89
89
  end
90
90
  name = to_inp(name)
91
- belong_to_module.Def.logic(name: name,dsize: @chain.last || 1,dimension: dimension,type: @type || 'logic')
91
+ rel = belong_to_module.Def.logic(name: name,dsize: @chain.last || 1,dimension: dimension,type: @type || 'logic')
92
92
  end
93
93
 
94
94
  def wire
@@ -448,6 +448,9 @@ class SdlModule
448
448
  # @ports = (@port_clocks + @port_resets + @port_logics + @port_datainfs + @port_datainf_c_s + @port_videoinfs + @port_axisinfs + @port_axi4infs + @port_axilinfs)
449
449
  @instance_cnt ||= 0
450
450
  inst_p = SdlInst.new(origin:self,name:name)
451
+
452
+ @instances ||= []
453
+ @instances << inst_p
451
454
 
452
455
  @port_params.each do |k,v|
453
456
  inst_p.inst_param_hash[k.to_s] = nil
@@ -65,7 +65,7 @@ class SdlModule
65
65
  if value.is_a? Float
66
66
  type = :real
67
67
  end
68
- tmp = Parameter.new(name:name.to_s,value:value,port:true,type:type,show:show)
68
+ tmp = Parameter.new(name:name.to_s,value:value,port:true,type:type,show:show, belong_to_module: self)
69
69
  add_to_new_module("@port_params",tmp)
70
70
  add_method_to_itgt(name,tmp)
71
71
  tmp
@@ -87,7 +87,7 @@ class SdlModule
87
87
  # tmp
88
88
  # end
89
89
  ClassHDL::AssignDefOpertor.with_rollback_opertors(:old) do
90
- tmp = Logic.new(name:name,dsize:dsize,port:"input",dimension:dimension)
90
+ tmp = Logic.new(name:name,dsize:dsize,port:"input",dimension:dimension, belong_to_module: self)
91
91
  add_to_new_module("@port_logics",tmp)
92
92
  add_method_to_itgt(name,tmp)
93
93
  tmp
@@ -109,7 +109,7 @@ class SdlModule
109
109
  # tmp
110
110
  # end
111
111
  ClassHDL::AssignDefOpertor.with_rollback_opertors(:old) do
112
- tmp = Logic.new(name:name,dsize:dsize,port:"output",dimension:dimension,type: 'logic')
112
+ tmp = Logic.new(name:name,dsize:dsize,port:"output",dimension:dimension,type: 'logic', belong_to_module: self)
113
113
  add_to_new_module("@port_logics",tmp)
114
114
 
115
115
  if block_given?
@@ -136,7 +136,7 @@ class SdlModule
136
136
  # tmp
137
137
  # end
138
138
  ClassHDL::AssignDefOpertor.with_rollback_opertors(:old) do
139
- tmp = Logic.new(name:name,dsize:dsize,port:"inout",dimension:dimension,type: '' )
139
+ tmp = Logic.new(name:name,dsize:dsize,port:"inout",dimension:dimension,type: '' , belong_to_module: self)
140
140
  add_to_new_module("@port_logics",tmp)
141
141
 
142
142
  if block_given?
@@ -150,7 +150,7 @@ class SdlModule
150
150
  def Clock(name,freqM:100,port: :input,pin:[],iostd:[],dsize:1,pin_prop:nil)
151
151
  port_name_chk(name)
152
152
  pin,iostd = parse_pin_prop(pin_prop) if pin_prop
153
- a = Clock.new(name:name,freqM:freqM,port:port,dsize:dsize)
153
+ a = Clock.new(name:name,freqM:freqM,port:port,dsize:dsize, belong_to_module: self)
154
154
  add_to_new_module("@port_clocks",a)
155
155
 
156
156
  if block_given?
@@ -164,7 +164,7 @@ class SdlModule
164
164
  def Reset(name,port: :input,active:"low",pin:[],iostd:[],dsize:1,pin_prop:nil)
165
165
  port_name_chk(name)
166
166
  pin,iostd = parse_pin_prop(pin_prop) if pin_prop
167
- a = Reset.new(name:name,active:active.to_s.downcase,port:port,dsize:dsize)
167
+ a = Reset.new(name:name,active:active.to_s.downcase,port:port,dsize:dsize, belong_to_module: self)
168
168
  add_to_new_module("@port_resets",a)
169
169
  # define_method(name){ a }
170
170
  add_method_to_itgt(name,a)
@@ -13,25 +13,25 @@ class DefXp
13
13
  end
14
14
 
15
15
  def logic(name:"tmp",dsize:1,port:false,default:nil,msb_high:true,dimension:[],type:"logic",&block)
16
- lg = Logic.new(name:name,dsize:dsize,port:port,default:default,msb_high:msb_high,dimension:dimension,type:type)
16
+ lg = Logic.new(name:name,dsize:dsize,port:port,default:default,msb_high:msb_high,dimension:dimension,type:type, belong_to_module: @sdlmodule)
17
17
  var_common(lg,&block)
18
18
  add_method_to_itgt(name,lg)
19
19
  end
20
20
 
21
21
  def clock(name:"",freqM:100,dsize:1,&block)
22
- a = Clock.new(name:name,freqM:freqM,dsize:dsize)
22
+ a = Clock.new(name:name,freqM:freqM,dsize:dsize, belong_to_module: @sdlmodule)
23
23
  var_common(a,&block)
24
24
  add_method_to_itgt(name,a)
25
25
  end
26
26
 
27
27
  def reset(name:"",active:"low",dsize:1,&block)
28
- a = Reset.new(name:name,active:active,dsize:dsize)
28
+ a = Reset.new(name:name,active:active,dsize:dsize, belong_to_module: @sdlmodule)
29
29
  var_common(a,&block)
30
30
  add_method_to_itgt(name,a)
31
31
  end
32
32
 
33
33
  def parameter(name:"P",value:100,local:false,type:nil,&block)
34
- a = Parameter.new(name:name,value:value,local:local,port:false,show:true,type:type)
34
+ a = Parameter.new(name:name,value:value,local:local,port:false,show:true,type:type, belong_to_module: @sdlmodule)
35
35
  var_common(a,&block)
36
36
  add_method_to_itgt(name,a)
37
37
  end
@@ -77,12 +77,12 @@ class DefXp
77
77
  # end
78
78
 
79
79
  def mailbox(name:'mbox',depth:100,&block)
80
- a = MailBox.new(name:name,depth:depth)
80
+ a = MailBox.new(name:name,depth:depth, belong_to_module: @sdlmodule)
81
81
  var_common(a,&block)
82
82
  end
83
83
 
84
84
  def debuglogic(name:"tmp",dsize:1,port:false,default:nil,msb_high:true,dimension:[],type:"logic",&block)
85
- lg = DebugLogic.new(name:name,dsize:dsize,port:port,default:default,msb_high:msb_high,dimension:dimension,type:type)
85
+ lg = DebugLogic.new(name:name,dsize:dsize,port:port,default:default,msb_high:msb_high,dimension:dimension,type:type, belong_to_module: @sdlmodule)
86
86
  var_common(lg,&block)
87
87
  add_method_to_itgt(name,lg)
88
88
  end
@@ -43,6 +43,8 @@ class SdlModule
43
43
  end
44
44
 
45
45
  def gen_dev_wave_tcl ## 返回一个[]
46
+ return ['','',''] unless TopModule.sim
47
+
46
48
  dve_tcl_hash = {}
47
49
  track_signals_hash.each do |flag, base_ele_bhash|
48
50
  base_elms = []
@@ -50,6 +52,7 @@ class SdlModule
50
52
  intf_elms_name = []
51
53
  base_ele_bhash.each do |ele, sub_filter_block|
52
54
  _ref_paths = ele.path_refs(&@__track_filter_block__)
55
+ _ref_paths.uniq!
53
56
 
54
57
  if sub_filter_block
55
58
  _ref_paths = _ref_paths.select do |e|
@@ -143,6 +146,8 @@ class SdlModule
143
146
 
144
147
  sub_hash.each do |ele, sub_filter_block|
145
148
  _root_refs = ele.path_refs(&filter_block)
149
+ _root_refs.uniq!
150
+
146
151
  if sub_filter_block
147
152
  _root_refs.select! do |e| sub_filter_block.call(e) end
148
153
  end
@@ -4,6 +4,6 @@ class TdlError < ScriptError
4
4
  head_str0 = String.new("\n+_____________________________________________+\n")
5
5
  head_str1 = "\n|----------------TDL ERROR--------------------|\n"
6
6
  end_str0 = "\n+================TDL ERROR====================+\n"
7
- super(head_str0.concat(head_str1).concat(arge.to_s[0,255]+end_str0))
7
+ super(head_str0.concat(head_str1).concat(arge.to_s[0,255]).concat(end_str0))
8
8
  end
9
9
  end
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: axi_tdl
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.1.1
4
+ version: 0.1.3
5
5
  platform: ruby
6
6
  authors:
7
7
  - Cook.Darwin
8
8
  autorequire:
9
9
  bindir: exe
10
10
  cert_chain: []
11
- date: 2021-03-21 00:00:00.000000000 Z
11
+ date: 2021-04-03 00:00:00.000000000 Z
12
12
  dependencies:
13
13
  - !ruby/object:Gem::Dependency
14
14
  name: rake
@@ -208,6 +208,7 @@ files:
208
208
  - lib/axi/AXI_stream/axi_stream_partition_A1.sv
209
209
  - lib/axi/AXI_stream/axi_stream_planer.sv
210
210
  - lib/axi/AXI_stream/axi_stream_split_channel.rb
211
+ - lib/axi/AXI_stream/axi_stream_split_channel.sv
211
212
  - lib/axi/AXI_stream/axi_streams_combin.sv
212
213
  - lib/axi/AXI_stream/axi_streams_combin_A1.sv
213
214
  - lib/axi/AXI_stream/axi_streams_scaler.sv
@@ -229,8 +230,10 @@ files:
229
230
  - lib/axi/AXI_stream/axis_head_cut.sv
230
231
  - lib/axi/AXI_stream/axis_head_cut_verb.sv
231
232
  - lib/axi/AXI_stream/axis_head_cut_verc.rb
233
+ - lib/axi/AXI_stream/axis_head_cut_verc.sv
232
234
  - lib/axi/AXI_stream/axis_inct_s2m_with_flag.sv
233
235
  - lib/axi/AXI_stream/axis_insert_copy.rb
236
+ - lib/axi/AXI_stream/axis_insert_copy.sv
234
237
  - lib/axi/AXI_stream/axis_intc_M2S_with_addr_inf.sv
235
238
  - lib/axi/AXI_stream/axis_intc_S2M_with_addr_inf.sv
236
239
  - lib/axi/AXI_stream/axis_interconnect_S2M_pipe.sv
@@ -239,18 +242,20 @@ files:
239
242
  - lib/axi/AXI_stream/axis_length_split.sv
240
243
  - lib/axi/AXI_stream/axis_length_split_with_addr.sv
241
244
  - lib/axi/AXI_stream/axis_length_split_with_user.sv
242
- - lib/axi/AXI_stream/axis_length_split_writh_user.sv
243
245
  - lib/axi/AXI_stream/axis_link_trigger.sv
244
246
  - lib/axi/AXI_stream/axis_master_empty.sv
245
247
  - lib/axi/AXI_stream/axis_mirror_to_master.sv
246
248
  - lib/axi/AXI_stream/axis_mirrors.sv
247
249
  - lib/axi/AXI_stream/axis_orthogonal.sv
248
250
  - lib/axi/AXI_stream/axis_pipe_sync_seam.rb
251
+ - lib/axi/AXI_stream/axis_pipe_sync_seam.sv
249
252
  - lib/axi/AXI_stream/axis_ram_buffer.sv
250
253
  - lib/axi/AXI_stream/axis_rom_contect.rb
251
254
  - lib/axi/AXI_stream/axis_rom_contect.sv
252
255
  - lib/axi/AXI_stream/axis_rom_contect_sim.rb
256
+ - lib/axi/AXI_stream/axis_rom_contect_sim.sv
253
257
  - lib/axi/AXI_stream/axis_sim_master_model.rb
258
+ - lib/axi/AXI_stream/axis_sim_master_model.sv
254
259
  - lib/axi/AXI_stream/axis_sim_slaver_model.rb
255
260
  - lib/axi/AXI_stream/axis_sim_verify_by_coe.sv
256
261
  - lib/axi/AXI_stream/axis_slaver_empty.sv
@@ -258,6 +263,7 @@ files:
258
263
  - lib/axi/AXI_stream/axis_slaver_pipe_A1.sv
259
264
  - lib/axi/AXI_stream/axis_slaver_vector_empty.sv
260
265
  - lib/axi/AXI_stream/axis_split_channel_verb.rb
266
+ - lib/axi/AXI_stream/axis_split_channel_verb.sv
261
267
  - lib/axi/AXI_stream/axis_to_axi4_or_lite.rb
262
268
  - lib/axi/AXI_stream/axis_to_axi4_or_lite.sv
263
269
  - lib/axi/AXI_stream/axis_to_data_inf.sv
@@ -546,6 +552,31 @@ files:
546
552
  - lib/axi/video_interface/video_interface.sv
547
553
  - lib/axi_tdl.rb
548
554
  - lib/axi_tdl/version.rb
555
+ - lib/public_atom_module/CheckPClock.sv
556
+ - lib/public_atom_module/LICENSE.md
557
+ - lib/public_atom_module/altera_xilinx_always_block_sw.rb
558
+ - lib/public_atom_module/bits_decode.sv
559
+ - lib/public_atom_module/bits_decode_verb.sv
560
+ - lib/public_atom_module/bits_decode_verb_sdl.rb
561
+ - lib/public_atom_module/broaden.v
562
+ - lib/public_atom_module/broaden_and_cross_clk.v
563
+ - lib/public_atom_module/ceiling.v
564
+ - lib/public_atom_module/ceiling_A1.v
565
+ - lib/public_atom_module/clock_rst.sv
566
+ - lib/public_atom_module/cross_clk_sync.v
567
+ - lib/public_atom_module/edge_generator.v
568
+ - lib/public_atom_module/flooring.v
569
+ - lib/public_atom_module/latch_data.v
570
+ - lib/public_atom_module/latency.v
571
+ - lib/public_atom_module/latency_dynamic.v
572
+ - lib/public_atom_module/latency_long.v
573
+ - lib/public_atom_module/latency_verb.v
574
+ - lib/public_atom_module/once_event.sv
575
+ - lib/public_atom_module/pipe_reg.v
576
+ - lib/public_atom_module/pipe_reg_2write_ports.v
577
+ - lib/public_atom_module/sim/clock_rst_verb.sv
578
+ - lib/public_atom_module/sim/latency_long_tb.sv
579
+ - lib/public_atom_module/sim/latency_long_tb.sv.bak
549
580
  - lib/spec/spec_helper.rb
550
581
  - lib/tdl/LICENSE
551
582
  - lib/tdl/Logic/Logic.tar.gz
@@ -1,87 +0,0 @@
1
- /**********************************************
2
- ______________ ______________
3
- ______________ X ______________
4
- ______________ ______________
5
-
6
- descript:
7
- author : Cook.Darwin
8
- Version: VERA.0.X 2018/1/25
9
- use axis_user to detect last
10
- creaded: 2017/5/19
11
- madified:
12
- ***********************************************/
13
- `timescale 1ns/1ps
14
- (* axi_stream = "true" *)
15
- module axis_length_split_with_user (
16
- input [31:0] length, ////[0] mean 0 len
17
- (* up_stream = "true" *)
18
- axi_stream_inf.slaver axis_in,
19
- (* down_stream = "true" *)
20
- axi_stream_inf.master axis_out
21
- );
22
-
23
- wire clock,rst_n,clken;
24
-
25
- assign clock = axis_in.aclk;
26
- assign rst_n = axis_in.aresetn;
27
- assign clken = axis_in.aclken;
28
-
29
- axi_stream_inf #(.DSIZE(axis_in.DSIZE)) axis_pre (.aclk(clock),.aresetn(rst_n),.aclken(clken));
30
-
31
-
32
- logic [31:0] cnt;
33
-
34
- always@(posedge clock,negedge rst_n)
35
- if(~rst_n) cnt <= '0;
36
- else begin
37
- if(axis_in.axis_tvalid && axis_in.axis_tready && axis_in.axis_tlast)
38
- cnt <= '0;
39
- else if(axis_in.axis_tvalid && axis_in.axis_tready && (cnt >= (length-1)))
40
- cnt <= '0;
41
- else if(axis_in.axis_tvalid && axis_in.axis_tready)
42
- cnt <= cnt + 1'b1;
43
- else cnt <= cnt;
44
- end
45
-
46
- logic new_last;
47
-
48
- always@(posedge clock,negedge rst_n)
49
- if(~rst_n) new_last <= 1'b0;
50
- else begin
51
- if(axis_in.axis_tvalid && axis_in.axis_tready && (new_last||axis_in.axis_tlast))
52
- new_last <= 1'b0;
53
- else if(axis_in.axis_tvalid && axis_in.axis_tready && cnt==(length-2))
54
- new_last <= 1'b1;
55
- else new_last <= new_last;
56
- end
57
-
58
- // logic mark_tail;
59
- //
60
- // always@(posedge clock,negedge rst_n)
61
- // if(~rst_n) mark_tail <= 1'b0;
62
- // else begin
63
- // if(axis_in.axis_tvalid && axis_in.axis_tready && axis_in.axis_tlast)
64
- // mark_tail <= 1'b0;
65
- // else if(axis_in.axis_tvalid && axis_in.axis_tready && axis_in.axis_tcnt==(length-1))
66
- // mark_tail <= 1'b1;
67
- // else mark_tail <= mark_tail;
68
- // end
69
-
70
- assign axis_pre.axis_tvalid = axis_in.axis_tvalid;
71
- assign axis_pre.axis_tdata = axis_in.axis_tdata;
72
- assign axis_pre.axis_tlast = new_last || axis_in.axis_tlast;
73
- assign axis_pre.axis_tkeep = axis_in.axis_tkeep;
74
- // assign axis_pre.axis_tuser = axis_in.axis_tuser;
75
- assign axis_pre.axis_tuser = axis_in.axis_tlast;
76
- assign axis_in.axis_tready = axis_pre.axis_tready;
77
-
78
- axis_connect_pipe axis_connect_pipe_inst(
79
- /* axi_stream_inf.slaver */ .axis_in (axis_pre ),
80
- /* axi_stream_inf.master */ .axis_out (axis_out )
81
- );
82
-
83
- int out_cnt;
84
-
85
- assign out_cnt = axis_out.axis_tcnt;
86
-
87
- endmodule