rggen-systemverilog 0.11.1 → 0.13.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (89) hide show
  1. checksums.yaml +4 -4
  2. data/README.md +2 -8
  3. data/lib/rggen/systemverilog.rb +3 -47
  4. data/lib/rggen/systemverilog/common.rb +44 -0
  5. data/lib/rggen/systemverilog/common/component.rb +25 -0
  6. data/lib/rggen/systemverilog/common/factories.rb +13 -0
  7. data/lib/rggen/systemverilog/common/feature.rb +78 -0
  8. data/lib/rggen/systemverilog/common/utility.rb +95 -0
  9. data/lib/rggen/systemverilog/common/utility/class_definition.rb +45 -0
  10. data/lib/rggen/systemverilog/common/utility/data_object.rb +131 -0
  11. data/lib/rggen/systemverilog/common/utility/function_definition.rb +47 -0
  12. data/lib/rggen/systemverilog/common/utility/identifier.rb +114 -0
  13. data/lib/rggen/systemverilog/common/utility/interface_instance.rb +57 -0
  14. data/lib/rggen/systemverilog/common/utility/interface_port.rb +51 -0
  15. data/lib/rggen/systemverilog/common/utility/local_scope.rb +64 -0
  16. data/lib/rggen/systemverilog/common/utility/module_definition.rb +76 -0
  17. data/lib/rggen/systemverilog/common/utility/package_definition.rb +61 -0
  18. data/lib/rggen/systemverilog/common/utility/source_file.rb +16 -0
  19. data/lib/rggen/systemverilog/common/utility/structure_definition.rb +39 -0
  20. data/lib/rggen/systemverilog/ral.rb +38 -0
  21. data/lib/rggen/systemverilog/ral/bit_field/type.rb +83 -0
  22. data/lib/rggen/systemverilog/ral/bit_field/type/reserved_rof.rb +5 -0
  23. data/lib/rggen/systemverilog/ral/bit_field/type/rwc_rwe_rwl.rb +23 -0
  24. data/lib/rggen/systemverilog/ral/bit_field/type/w0trg_w1trg.rb +7 -0
  25. data/lib/rggen/systemverilog/ral/feature.rb +26 -0
  26. data/lib/rggen/systemverilog/ral/register/type.rb +154 -0
  27. data/lib/rggen/systemverilog/ral/register/type/default.erb +8 -0
  28. data/lib/rggen/systemverilog/ral/register/type/external.rb +27 -0
  29. data/lib/rggen/systemverilog/ral/register/type/indirect.erb +13 -0
  30. data/lib/rggen/systemverilog/ral/register/type/indirect.rb +35 -0
  31. data/lib/rggen/systemverilog/ral/register_block/sv_ral_block_model.erb +11 -0
  32. data/lib/rggen/systemverilog/ral/register_block/sv_ral_package.rb +65 -0
  33. data/lib/rggen/systemverilog/ral/setup.rb +7 -0
  34. data/lib/rggen/systemverilog/rtl.rb +50 -0
  35. data/lib/rggen/systemverilog/rtl/bit_field/sv_rtl_top.rb +89 -0
  36. data/lib/rggen/systemverilog/rtl/bit_field/type.rb +62 -0
  37. data/lib/rggen/systemverilog/rtl/bit_field/type/rc_w0c_w1c.erb +15 -0
  38. data/lib/rggen/systemverilog/rtl/bit_field/type/rc_w0c_w1c.rb +59 -0
  39. data/lib/rggen/systemverilog/rtl/bit_field/type/reserved.erb +3 -0
  40. data/lib/rggen/systemverilog/rtl/bit_field/type/reserved.rb +7 -0
  41. data/lib/rggen/systemverilog/rtl/bit_field/type/ro.erb +6 -0
  42. data/lib/rggen/systemverilog/rtl/bit_field/type/ro.rb +22 -0
  43. data/lib/rggen/systemverilog/rtl/bit_field/type/rof.erb +6 -0
  44. data/lib/rggen/systemverilog/rtl/bit_field/type/rof.rb +7 -0
  45. data/lib/rggen/systemverilog/rtl/bit_field/type/rs_w0s_w1s.erb +13 -0
  46. data/lib/rggen/systemverilog/rtl/bit_field/type/rs_w0s_w1s.rb +32 -0
  47. data/lib/rggen/systemverilog/rtl/bit_field/type/rw_wo.erb +9 -0
  48. data/lib/rggen/systemverilog/rtl/bit_field/type/rw_wo.rb +14 -0
  49. data/lib/rggen/systemverilog/rtl/bit_field/type/rwc_rwe_rwl.erb +16 -0
  50. data/lib/rggen/systemverilog/rtl/bit_field/type/rwc_rwe_rwl.rb +61 -0
  51. data/lib/rggen/systemverilog/rtl/bit_field/type/w0trg_w1trg.erb +9 -0
  52. data/lib/rggen/systemverilog/rtl/bit_field/type/w0trg_w1trg.rb +20 -0
  53. data/lib/rggen/systemverilog/rtl/feature.rb +48 -0
  54. data/lib/rggen/systemverilog/rtl/global/array_port_format.rb +19 -0
  55. data/lib/rggen/systemverilog/rtl/global/fold_sv_interface_port.rb +24 -0
  56. data/lib/rggen/systemverilog/rtl/register/sv_rtl_top.rb +82 -0
  57. data/lib/rggen/systemverilog/rtl/register/type.rb +65 -0
  58. data/lib/rggen/systemverilog/rtl/register/type/default.erb +15 -0
  59. data/lib/rggen/systemverilog/rtl/register/type/external.erb +11 -0
  60. data/lib/rggen/systemverilog/rtl/register/type/external.rb +91 -0
  61. data/lib/rggen/systemverilog/rtl/register/type/indirect.erb +17 -0
  62. data/lib/rggen/systemverilog/rtl/register/type/indirect.rb +40 -0
  63. data/lib/rggen/systemverilog/rtl/register_block/protocol.rb +100 -0
  64. data/lib/rggen/systemverilog/rtl/register_block/protocol/apb.erb +10 -0
  65. data/lib/rggen/systemverilog/rtl/register_block/protocol/apb.rb +89 -0
  66. data/lib/rggen/systemverilog/rtl/register_block/protocol/axi4lite.erb +11 -0
  67. data/lib/rggen/systemverilog/rtl/register_block/protocol/axi4lite.rb +125 -0
  68. data/lib/rggen/systemverilog/rtl/register_block/sv_rtl_macros.erb +9 -0
  69. data/lib/rggen/systemverilog/rtl/register_block/sv_rtl_top.rb +86 -0
  70. data/lib/rggen/systemverilog/rtl/setup.rb +13 -0
  71. data/lib/rggen/systemverilog/version.rb +1 -1
  72. metadata +70 -20
  73. data/lib/rggen/systemverilog/component.rb +0 -23
  74. data/lib/rggen/systemverilog/factories.rb +0 -11
  75. data/lib/rggen/systemverilog/feature.rb +0 -76
  76. data/lib/rggen/systemverilog/feature_ral.rb +0 -24
  77. data/lib/rggen/systemverilog/feature_rtl.rb +0 -46
  78. data/lib/rggen/systemverilog/utility.rb +0 -93
  79. data/lib/rggen/systemverilog/utility/class_definition.rb +0 -43
  80. data/lib/rggen/systemverilog/utility/data_object.rb +0 -129
  81. data/lib/rggen/systemverilog/utility/function_definition.rb +0 -45
  82. data/lib/rggen/systemverilog/utility/identifier.rb +0 -112
  83. data/lib/rggen/systemverilog/utility/interface_instance.rb +0 -55
  84. data/lib/rggen/systemverilog/utility/interface_port.rb +0 -49
  85. data/lib/rggen/systemverilog/utility/local_scope.rb +0 -62
  86. data/lib/rggen/systemverilog/utility/module_definition.rb +0 -74
  87. data/lib/rggen/systemverilog/utility/package_definition.rb +0 -59
  88. data/lib/rggen/systemverilog/utility/source_file.rb +0 -14
  89. data/lib/rggen/systemverilog/utility/structure_definition.rb +0 -37
@@ -0,0 +1,16 @@
1
+ # frozen_string_literal: true
2
+
3
+ module RgGen
4
+ module SystemVerilog
5
+ module Common
6
+ module Utility
7
+ class SourceFile < Core::Utility::CodeUtility::SourceFile
8
+ ifndef_keyword :'`ifndef'
9
+ endif_keyword :'`endif'
10
+ define_keyword :'`define'
11
+ include_keyword :'`include'
12
+ end
13
+ end
14
+ end
15
+ end
16
+ end
@@ -0,0 +1,39 @@
1
+ # frozen_string_literal: true
2
+
3
+ module RgGen
4
+ module SystemVerilog
5
+ module Common
6
+ module Utility
7
+ class StructureDefinition <
8
+ Core::Utility::CodeUtility::StructureDefinition
9
+
10
+ include Core::Utility::AttributeSetter
11
+
12
+ def initialize(**default_attributes, &block)
13
+ apply_attributes(default_attributes)
14
+ super(&block)
15
+ end
16
+
17
+ private
18
+
19
+ def add_declarations_to_header(code, declarations, terminator = '')
20
+ declarations.empty? || indent(code, 2) do
21
+ declarations.each_with_index do |d, i|
22
+ code <<
23
+ if i < (declarations.size - 1)
24
+ [d, comma, nl]
25
+ else
26
+ [d, terminator]
27
+ end
28
+ end
29
+ end
30
+ end
31
+
32
+ def add_declarations_to_body(code, declarations, terminator = ';')
33
+ declarations.each { |d| code << d << terminator << nl }
34
+ end
35
+ end
36
+ end
37
+ end
38
+ end
39
+ end
@@ -0,0 +1,38 @@
1
+ # frozen_string_literal: true
2
+
3
+ require_relative 'common'
4
+ require_relative 'ral/feature'
5
+
6
+ module RgGen
7
+ module SystemVerilog
8
+ module RAL
9
+ FEATURES = [
10
+ 'ral/bit_field/type',
11
+ 'ral/bit_field/type/reserved_rof',
12
+ 'ral/bit_field/type/rwc_rwe_rwl',
13
+ 'ral/bit_field/type/w0trg_w1trg',
14
+ 'ral/register/type',
15
+ 'ral/register/type/external',
16
+ 'ral/register/type/indirect',
17
+ 'ral/register_block/sv_ral_package'
18
+ ].freeze
19
+
20
+ def self.version
21
+ SystemVerilog::VERSION
22
+ end
23
+
24
+ def self.register_component(builder)
25
+ Common.register_component(builder, :sv_ral, Feature)
26
+ end
27
+
28
+ def self.load_features
29
+ Common.load_features(FEATURES, __dir__)
30
+ end
31
+
32
+ def self.default_setup(builder)
33
+ register_component(builder)
34
+ load_features
35
+ end
36
+ end
37
+ end
38
+ end
@@ -0,0 +1,83 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_feature(:bit_field, :type) do
4
+ sv_ral do
5
+ base_feature do
6
+ define_helpers do
7
+ attr_setter :access
8
+
9
+ def model_name(name = nil, &block)
10
+ @model_name = name || block || @model_name
11
+ @model_name
12
+ end
13
+ end
14
+
15
+ export :access
16
+ export :model_name
17
+ export :constructors
18
+
19
+ build do
20
+ variable :register, :ral_model, {
21
+ name: bit_field.name,
22
+ data_type: model_name,
23
+ array_size: array_size,
24
+ random: true
25
+ }
26
+ end
27
+
28
+ def access
29
+ (helper.access || bit_field.type).to_s.upcase
30
+ end
31
+
32
+ def model_name
33
+ if helper.model_name&.is_a?(Proc)
34
+ instance_eval(&helper.model_name)
35
+ else
36
+ helper.model_name || :rggen_ral_field
37
+ end
38
+ end
39
+
40
+ def constructors
41
+ (bit_field.sequence_size&.times || [nil]).map do |index|
42
+ macro_call(
43
+ :rggen_ral_create_field_model, arguments(index)
44
+ )
45
+ end
46
+ end
47
+
48
+ private
49
+
50
+ def array_size
51
+ Array(bit_field.sequence_size)
52
+ end
53
+
54
+ def arguments(index)
55
+ [
56
+ ral_model[index], bit_field.lsb(index), bit_field.width,
57
+ access, volatile, reset_value, valid_reset
58
+ ]
59
+ end
60
+
61
+ def volatile
62
+ bit_field.volatile? && 1 || 0
63
+ end
64
+
65
+ def reset_value
66
+ hex(bit_field.initial_value, bit_field.width)
67
+ end
68
+
69
+ def valid_reset
70
+ bit_field.initial_value? && 1 || 0
71
+ end
72
+ end
73
+
74
+ default_feature do
75
+ end
76
+
77
+ factory do
78
+ def select_feature(_configuration, bit_field)
79
+ target_features[bit_field.type]
80
+ end
81
+ end
82
+ end
83
+ end
@@ -0,0 +1,5 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:bit_field, :type, [:reserved, :rof]) do
4
+ sv_ral { access 'RO' }
5
+ end
@@ -0,0 +1,23 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:bit_field, :type, :rwc) do
4
+ sv_ral { access 'RW' }
5
+ end
6
+
7
+ RgGen.define_list_item_feature(:bit_field, :type, [:rwe, :rwl]) do
8
+ sv_ral do
9
+ model_name do
10
+ "rggen_ral_#{bit_field.type}_field #(#{reference_names})"
11
+ end
12
+
13
+ private
14
+
15
+ def reference_names
16
+ reference = bit_field.reference
17
+ register = reference&.register
18
+ [register&.name, reference&.name]
19
+ .map { |name| string(name) }
20
+ .join(', ')
21
+ end
22
+ end
23
+ end
@@ -0,0 +1,7 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:bit_field, :type, [:w0trg, :w1trg]) do
4
+ sv_ral do
5
+ model_name { "rggen_ral_#{bit_field.type}_field" }
6
+ end
7
+ end
@@ -0,0 +1,26 @@
1
+ # frozen_string_literal: true
2
+
3
+ module RgGen
4
+ module SystemVerilog
5
+ module RAL
6
+ class Feature < Common::Feature
7
+ private
8
+
9
+ def create_variable(_, attributes, block)
10
+ DataObject.new(
11
+ :variable, attributes.merge(array_format: :unpacked), &block
12
+ )
13
+ end
14
+
15
+ def create_parameter(_, attributes, block)
16
+ DataObject.new(
17
+ :parameter, attributes, &block
18
+ )
19
+ end
20
+
21
+ define_entity :variable, :create_variable, :variable
22
+ define_entity :parameter, :create_parameter, :parameter
23
+ end
24
+ end
25
+ end
26
+ end
@@ -0,0 +1,154 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_feature(:register, :type) do
4
+ sv_ral do
5
+ base_feature do
6
+ define_helpers do
7
+ def model_name(&body)
8
+ @model_name = body if block_given?
9
+ @model_name
10
+ end
11
+
12
+ def offset_address(&body)
13
+ @offset_address = body if block_given?
14
+ @offset_address
15
+ end
16
+
17
+ def unmapped
18
+ @unmapped = true
19
+ end
20
+
21
+ def unmapped?
22
+ !@unmapped.nil?
23
+ end
24
+
25
+ def constructor(&body)
26
+ @constructor = body if block_given?
27
+ @constructor
28
+ end
29
+ end
30
+
31
+ export :constructors
32
+
33
+ build do
34
+ variable :register_block, :ral_model, {
35
+ name: register.name,
36
+ data_type: model_name,
37
+ array_size: register.array_size,
38
+ random: true
39
+ }
40
+ end
41
+
42
+ def constructors
43
+ (array_index_list || [nil]).map.with_index do |array_index, i|
44
+ constructor_code(array_index, i)
45
+ end
46
+ end
47
+
48
+ private
49
+
50
+ def model_name
51
+ if helper.model_name
52
+ instance_eval(&helper.model_name)
53
+ else
54
+ "#{register.name}_reg_model"
55
+ end
56
+ end
57
+
58
+ def array_index_list
59
+ (register.array? || nil) &&
60
+ begin
61
+ index_table = register.array_size.map { |size| (0...size).to_a }
62
+ index_table[0].product(*index_table[1..-1])
63
+ end
64
+ end
65
+
66
+ def constructor_code(array_index, index)
67
+ if helper.constructor
68
+ instance_exec(array_index, index, &helper.constructor)
69
+ else
70
+ macro_call(
71
+ :rggen_ral_create_reg_model, arguments(array_index, index)
72
+ )
73
+ end
74
+ end
75
+
76
+ def arguments(array_index, index)
77
+ [
78
+ ral_model[array_index], array(array_index), offset_address(index),
79
+ access_rights, unmapped, hdl_path(array_index)
80
+ ]
81
+ end
82
+
83
+ def offset_address(index = 0)
84
+ address =
85
+ if helper.offset_address
86
+ instance_exec(index, &helper.offset_address)
87
+ else
88
+ register.offset_address + register.byte_width * index
89
+ end
90
+ hex(address, register_block.local_address_width)
91
+ end
92
+
93
+ def access_rights
94
+ if read_only?
95
+ 'RO'
96
+ elsif write_only?
97
+ 'WO'
98
+ else
99
+ 'RW'
100
+ end
101
+ end
102
+
103
+ def read_only?
104
+ !register.writable?
105
+ end
106
+
107
+ def write_only?
108
+ register.writable? && !register.readable?
109
+ end
110
+
111
+ def unmapped
112
+ helper.unmapped? && 1 || 0
113
+ end
114
+
115
+ def hdl_path(array_index)
116
+ [
117
+ "g_#{register.name}",
118
+ *Array(array_index).map { |i| "g[#{i}]" },
119
+ 'u_register'
120
+ ].join('.')
121
+ end
122
+
123
+ def variables
124
+ register.declarations(:register, :variable)
125
+ end
126
+
127
+ def field_model_constructors
128
+ register.bit_fields.flat_map(&:constructors)
129
+ end
130
+ end
131
+
132
+ default_feature do
133
+ main_code :ral_package do
134
+ class_definition(model_name) do |sv_class|
135
+ sv_class.base 'rggen_ral_reg'
136
+ sv_class.variables variables
137
+ sv_class.body { model_body }
138
+ end
139
+ end
140
+
141
+ private
142
+
143
+ def model_body
144
+ process_template(File.join(__dir__, 'type', 'default.erb'))
145
+ end
146
+ end
147
+
148
+ factory do
149
+ def select_feature(_configuration, register)
150
+ target_features[register.type]
151
+ end
152
+ end
153
+ end
154
+ end
@@ -0,0 +1,8 @@
1
+ function new(string name);
2
+ super.new(name, <%= register.width%>, 0);
3
+ endfunction
4
+ function void build();
5
+ <% field_model_constructors.each do |constructor| %>
6
+ <%= constructor %>
7
+ <% end%>
8
+ endfunction
@@ -0,0 +1,27 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:register, :type, :external) do
4
+ sv_ral do
5
+ build do
6
+ parameter :register_block, :model_type, {
7
+ name: model_name,
8
+ data_type: 'type',
9
+ default: 'rggen_ral_block'
10
+ }
11
+ parameter :register_block, :integrate_model, {
12
+ name: "INTEGRATE_#{model_name}",
13
+ data_type: 'bit',
14
+ default: 1
15
+ }
16
+ end
17
+
18
+ model_name { register.name.upcase }
19
+
20
+ constructor do
21
+ macro_call(
22
+ 'rggen_ral_create_block_model',
23
+ [ral_model, offset_address, 'this', integrate_model]
24
+ )
25
+ end
26
+ end
27
+ end
@@ -0,0 +1,13 @@
1
+ function new(string name);
2
+ super.new(name, <%= register.width%>, 0);
3
+ endfunction
4
+ function void build();
5
+ <% field_model_constructors.each do |constructor| %>
6
+ <%= constructor %>
7
+ <% end%>
8
+ endfunction
9
+ function void setup_index_fields();
10
+ <% index_properties.each do |reg_name, field_name, value| %>
11
+ setup_index_field("<%= reg_name %>", "<%= field_name%>", <%= value %>);
12
+ <% end %>
13
+ endfunction