ruby-vpi 7.0.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (364) hide show
  1. data/HISTORY +398 -0
  2. data/LICENSE +340 -0
  3. data/MEMO +38 -0
  4. data/README +9 -0
  5. data/Rakefile +271 -0
  6. data/bin/generate_test.rb +258 -0
  7. data/bin/generate_test_tpl/bench.rb +31 -0
  8. data/bin/generate_test_tpl/bench.v +54 -0
  9. data/bin/generate_test_tpl/design.rb +26 -0
  10. data/bin/generate_test_tpl/proto.rb +8 -0
  11. data/bin/generate_test_tpl/runner.rake +28 -0
  12. data/bin/generate_test_tpl/spec.rb +46 -0
  13. data/bin/header_to_ruby.rb +70 -0
  14. data/doc/Rakefile +55 -0
  15. data/doc/src/LICENSE +397 -0
  16. data/doc/src/figures/organization.png +0 -0
  17. data/doc/src/figures/organization_detailed.png +0 -0
  18. data/doc/src/figures/ruby_init.png +0 -0
  19. data/doc/src/figures/ruby_relay.png +0 -0
  20. data/doc/src/figures.dia +0 -0
  21. data/doc/src/images/COPYING +67 -0
  22. data/doc/src/images/ChangeLog +27 -0
  23. data/doc/src/images/blank.png +0 -0
  24. data/doc/src/images/callouts/1.png +0 -0
  25. data/doc/src/images/callouts/10.png +0 -0
  26. data/doc/src/images/callouts/11.png +0 -0
  27. data/doc/src/images/callouts/12.png +0 -0
  28. data/doc/src/images/callouts/13.png +0 -0
  29. data/doc/src/images/callouts/14.png +0 -0
  30. data/doc/src/images/callouts/15.png +0 -0
  31. data/doc/src/images/callouts/2.png +0 -0
  32. data/doc/src/images/callouts/3.png +0 -0
  33. data/doc/src/images/callouts/4.png +0 -0
  34. data/doc/src/images/callouts/5.png +0 -0
  35. data/doc/src/images/callouts/6.png +0 -0
  36. data/doc/src/images/callouts/7.png +0 -0
  37. data/doc/src/images/callouts/8.png +0 -0
  38. data/doc/src/images/callouts/9.png +0 -0
  39. data/doc/src/images/callouts/ChangeLog +15 -0
  40. data/doc/src/images/caution.png +0 -0
  41. data/doc/src/images/caution.svg +290 -0
  42. data/doc/src/images/copyright +55 -0
  43. data/doc/src/images/draft.png +0 -0
  44. data/doc/src/images/home.png +0 -0
  45. data/doc/src/images/home.svg +386 -0
  46. data/doc/src/images/important.png +0 -0
  47. data/doc/src/images/important.svg +163 -0
  48. data/doc/src/images/next.png +0 -0
  49. data/doc/src/images/next.svg +191 -0
  50. data/doc/src/images/note.png +0 -0
  51. data/doc/src/images/note.svg +483 -0
  52. data/doc/src/images/prev.png +0 -0
  53. data/doc/src/images/prev.svg +852 -0
  54. data/doc/src/images/tip.png +0 -0
  55. data/doc/src/images/tip.svg +1145 -0
  56. data/doc/src/images/toc-blank.png +0 -0
  57. data/doc/src/images/toc-minus.png +0 -0
  58. data/doc/src/images/toc-plus.png +0 -0
  59. data/doc/src/images/up.png +0 -0
  60. data/doc/src/images/up.svg +195 -0
  61. data/doc/src/images/warning.png +0 -0
  62. data/doc/src/images/warning.svg +334 -0
  63. data/doc/src/license.xml +446 -0
  64. data/doc/src/manual.xml +1582 -0
  65. data/doc/src/manual.xsl +23 -0
  66. data/doc/src/shared.dtd +63 -0
  67. data/doc/src/styles/manual.css +87 -0
  68. data/doc/txt/manual.txt +1657 -0
  69. data/doc/xhtml/background.html +3 -0
  70. data/doc/xhtml/background.methodology.html +3 -0
  71. data/doc/xhtml/background.organization.html +10 -0
  72. data/doc/xhtml/background.running-tests.html +3 -0
  73. data/doc/xhtml/background.terminology.html +3 -0
  74. data/doc/xhtml/figures/organization.png +0 -0
  75. data/doc/xhtml/figures/organization_detailed.png +0 -0
  76. data/doc/xhtml/figures/ruby_init.png +0 -0
  77. data/doc/xhtml/figures/ruby_relay.png +0 -0
  78. data/doc/xhtml/gfdl-0.html +18 -0
  79. data/doc/xhtml/gfdl-1.html +70 -0
  80. data/doc/xhtml/gfdl-10.html +15 -0
  81. data/doc/xhtml/gfdl-2.html +13 -0
  82. data/doc/xhtml/gfdl-3.html +31 -0
  83. data/doc/xhtml/gfdl-4.html +75 -0
  84. data/doc/xhtml/gfdl-5.html +20 -0
  85. data/doc/xhtml/gfdl-6.html +12 -0
  86. data/doc/xhtml/gfdl-7.html +16 -0
  87. data/doc/xhtml/gfdl-8.html +17 -0
  88. data/doc/xhtml/gfdl-9.html +9 -0
  89. data/doc/xhtml/gfdl-addendum.html +25 -0
  90. data/doc/xhtml/gfdl.html +11 -0
  91. data/doc/xhtml/glossary.html +3 -0
  92. data/doc/xhtml/images/COPYING +67 -0
  93. data/doc/xhtml/images/ChangeLog +27 -0
  94. data/doc/xhtml/images/blank.png +0 -0
  95. data/doc/xhtml/images/callouts/1.png +0 -0
  96. data/doc/xhtml/images/callouts/10.png +0 -0
  97. data/doc/xhtml/images/callouts/11.png +0 -0
  98. data/doc/xhtml/images/callouts/12.png +0 -0
  99. data/doc/xhtml/images/callouts/13.png +0 -0
  100. data/doc/xhtml/images/callouts/14.png +0 -0
  101. data/doc/xhtml/images/callouts/15.png +0 -0
  102. data/doc/xhtml/images/callouts/2.png +0 -0
  103. data/doc/xhtml/images/callouts/3.png +0 -0
  104. data/doc/xhtml/images/callouts/4.png +0 -0
  105. data/doc/xhtml/images/callouts/5.png +0 -0
  106. data/doc/xhtml/images/callouts/6.png +0 -0
  107. data/doc/xhtml/images/callouts/7.png +0 -0
  108. data/doc/xhtml/images/callouts/8.png +0 -0
  109. data/doc/xhtml/images/callouts/9.png +0 -0
  110. data/doc/xhtml/images/callouts/ChangeLog +15 -0
  111. data/doc/xhtml/images/caution.png +0 -0
  112. data/doc/xhtml/images/caution.svg +290 -0
  113. data/doc/xhtml/images/copyright +55 -0
  114. data/doc/xhtml/images/draft.png +0 -0
  115. data/doc/xhtml/images/home.png +0 -0
  116. data/doc/xhtml/images/home.svg +386 -0
  117. data/doc/xhtml/images/important.png +0 -0
  118. data/doc/xhtml/images/important.svg +163 -0
  119. data/doc/xhtml/images/next.png +0 -0
  120. data/doc/xhtml/images/next.svg +191 -0
  121. data/doc/xhtml/images/note.png +0 -0
  122. data/doc/xhtml/images/note.svg +483 -0
  123. data/doc/xhtml/images/prev.png +0 -0
  124. data/doc/xhtml/images/prev.svg +852 -0
  125. data/doc/xhtml/images/tip.png +0 -0
  126. data/doc/xhtml/images/tip.svg +1145 -0
  127. data/doc/xhtml/images/toc-blank.png +0 -0
  128. data/doc/xhtml/images/toc-minus.png +0 -0
  129. data/doc/xhtml/images/toc-plus.png +0 -0
  130. data/doc/xhtml/images/up.png +0 -0
  131. data/doc/xhtml/images/up.svg +195 -0
  132. data/doc/xhtml/images/warning.png +0 -0
  133. data/doc/xhtml/images/warning.svg +334 -0
  134. data/doc/xhtml/index.html +4 -0
  135. data/doc/xhtml/introduction.html +3 -0
  136. data/doc/xhtml/introduction.license.html +3 -0
  137. data/doc/xhtml/introduction.manifest.html +3 -0
  138. data/doc/xhtml/introduction.related-works.html +3 -0
  139. data/doc/xhtml/introduction.resources.html +3 -0
  140. data/doc/xhtml/problem.ivl.html +18 -0
  141. data/doc/xhtml/problems.html +3 -0
  142. data/doc/xhtml/problems.ruby.html +3 -0
  143. data/doc/xhtml/problems.vsim.html +3 -0
  144. data/doc/xhtml/styles/manual.css +87 -0
  145. data/doc/xhtml/usage.examples.html +3 -0
  146. data/doc/xhtml/usage.html +3 -0
  147. data/doc/xhtml/usage.installation.html +3 -0
  148. data/doc/xhtml/usage.requirements.html +3 -0
  149. data/doc/xhtml/usage.tools.html +3 -0
  150. data/doc/xhtml/usage.tutorial.html +199 -0
  151. data/ext/Doxyfile +272 -0
  152. data/ext/README +124 -0
  153. data/ext/Rakefile +65 -0
  154. data/ext/common.h +56 -0
  155. data/ext/extconf.rb +4 -0
  156. data/ext/relay.cin +146 -0
  157. data/ext/relay.hin +48 -0
  158. data/ext/ruby-vpi.c +36 -0
  159. data/ext/swig.cin +38 -0
  160. data/ext/swig.hin +39 -0
  161. data/ext/swig_vpi.h +924 -0
  162. data/ext/swig_vpi.i +8 -0
  163. data/ext/swig_wrap.cin +4613 -0
  164. data/ext/verilog.h +59 -0
  165. data/ext/vlog.cin +92 -0
  166. data/ext/vlog.hin +57 -0
  167. data/ext/vpi_user.h +924 -0
  168. data/gem_extconf.rb +8 -0
  169. data/history.html +809 -0
  170. data/lib/ruby-vpi/erb.rb +41 -0
  171. data/lib/ruby-vpi/rake.rb +35 -0
  172. data/lib/ruby-vpi/rdoc.rb +51 -0
  173. data/lib/ruby-vpi/rspec.rb +32 -0
  174. data/lib/ruby-vpi/runner.rb +22 -0
  175. data/lib/ruby-vpi/vpi_util.rb +310 -0
  176. data/lib/ruby-vpi.rb +58 -0
  177. data/memo.html +86 -0
  178. data/readme.html +19 -0
  179. data/ref/c/annotated.html +36 -0
  180. data/ref/c/common_8h.html +178 -0
  181. data/ref/c/doxygen.css +310 -0
  182. data/ref/c/doxygen.png +0 -0
  183. data/ref/c/files.html +35 -0
  184. data/ref/c/functions.html +135 -0
  185. data/ref/c/functions_vars.html +135 -0
  186. data/ref/c/globals.html +55 -0
  187. data/ref/c/globals_0x63.html +86 -0
  188. data/ref/c/globals_0x65.html +55 -0
  189. data/ref/c/globals_0x66.html +55 -0
  190. data/ref/c/globals_0x70.html +71 -0
  191. data/ref/c/globals_0x72.html +62 -0
  192. data/ref/c/globals_0x73.html +65 -0
  193. data/ref/c/globals_0x74.html +55 -0
  194. data/ref/c/globals_0x76.html +472 -0
  195. data/ref/c/globals_0x78.html +55 -0
  196. data/ref/c/globals_defs.html +81 -0
  197. data/ref/c/globals_defs_0x65.html +50 -0
  198. data/ref/c/globals_defs_0x70.html +51 -0
  199. data/ref/c/globals_defs_0x76.html +463 -0
  200. data/ref/c/globals_defs_0x78.html +50 -0
  201. data/ref/c/globals_enum.html +39 -0
  202. data/ref/c/globals_eval.html +40 -0
  203. data/ref/c/globals_func.html +49 -0
  204. data/ref/c/globals_type.html +63 -0
  205. data/ref/c/globals_vars.html +42 -0
  206. data/ref/c/hierarchy.html +36 -0
  207. data/ref/c/index.html +20 -0
  208. data/ref/c/relay_8cin.html +268 -0
  209. data/ref/c/relay_8hin.html +161 -0
  210. data/ref/c/ruby-vpi_8c.html +34 -0
  211. data/ref/c/structrelay____RubyOptions____def.html +84 -0
  212. data/ref/c/structt__cb__data.html +208 -0
  213. data/ref/c/structt__vpi__delay.html +183 -0
  214. data/ref/c/structt__vpi__error__info.html +208 -0
  215. data/ref/c/structt__vpi__strengthval.html +108 -0
  216. data/ref/c/structt__vpi__systf__data.html +208 -0
  217. data/ref/c/structt__vpi__time.html +133 -0
  218. data/ref/c/structt__vpi__value.html +285 -0
  219. data/ref/c/structt__vpi__vecval.html +83 -0
  220. data/ref/c/structt__vpi__vlog__info.html +133 -0
  221. data/ref/c/swig_8cin.html +91 -0
  222. data/ref/c/swig_8hin.html +99 -0
  223. data/ref/c/tab_b.gif +0 -0
  224. data/ref/c/tab_l.gif +0 -0
  225. data/ref/c/tab_r.gif +0 -0
  226. data/ref/c/tabs.css +102 -0
  227. data/ref/c/verilog_8h.html +149 -0
  228. data/ref/c/vlog_8cin.html +199 -0
  229. data/ref/c/vlog_8hin.html +152 -0
  230. data/ref/c/vpi__user_8h.html +12747 -0
  231. data/ref/ruby/classes/Counter.html +258 -0
  232. data/ref/ruby/classes/Counter.src/M000037.html +20 -0
  233. data/ref/ruby/classes/Counter.src/M000038.html +22 -0
  234. data/ref/ruby/classes/Counter.src/M000039.html +20 -0
  235. data/ref/ruby/classes/Counter.src/M000040.html +22 -0
  236. data/ref/ruby/classes/CounterProto.html +164 -0
  237. data/ref/ruby/classes/CounterProto.src/M000004.html +22 -0
  238. data/ref/ruby/classes/CounterProto.src/M000005.html +22 -0
  239. data/ref/ruby/classes/ERB.html +158 -0
  240. data/ref/ruby/classes/ERB.src/M000034.html +29 -0
  241. data/ref/ruby/classes/FileUtils.html +165 -0
  242. data/ref/ruby/classes/FileUtils.src/M000047.html +18 -0
  243. data/ref/ruby/classes/FileUtils.src/M000048.html +18 -0
  244. data/ref/ruby/classes/Hw5UnitModel/Operation.html +216 -0
  245. data/ref/ruby/classes/Hw5UnitModel/Operation.src/M000011.html +25 -0
  246. data/ref/ruby/classes/Hw5UnitModel/Operation.src/M000012.html +33 -0
  247. data/ref/ruby/classes/Hw5UnitModel/Operation.src/M000013.html +18 -0
  248. data/ref/ruby/classes/Hw5UnitModel.html +256 -0
  249. data/ref/ruby/classes/Hw5UnitModel.src/M000006.html +24 -0
  250. data/ref/ruby/classes/Hw5UnitModel.src/M000008.html +20 -0
  251. data/ref/ruby/classes/Hw5UnitModel.src/M000009.html +38 -0
  252. data/ref/ruby/classes/Hw5UnitModel.src/M000010.html +22 -0
  253. data/ref/ruby/classes/Hw5_unit.html +196 -0
  254. data/ref/ruby/classes/Hw5_unit.src/M000003.html +27 -0
  255. data/ref/ruby/classes/Hw5_unit_spec.html +237 -0
  256. data/ref/ruby/classes/Hw5_unit_spec.src/M000023.html +21 -0
  257. data/ref/ruby/classes/Hw5_unit_spec.src/M000024.html +21 -0
  258. data/ref/ruby/classes/Hw5_unit_spec.src/M000025.html +67 -0
  259. data/ref/ruby/classes/InputGenerator.html +260 -0
  260. data/ref/ruby/classes/InputGenerator.src/M000027.html +18 -0
  261. data/ref/ruby/classes/InputGenerator.src/M000028.html +18 -0
  262. data/ref/ruby/classes/InputGenerator.src/M000029.html +19 -0
  263. data/ref/ruby/classes/InputGenerator.src/M000030.html +38 -0
  264. data/ref/ruby/classes/InputGenerator.src/M000031.html +19 -0
  265. data/ref/ruby/classes/InputGenerator.src/M000032.html +19 -0
  266. data/ref/ruby/classes/MaximumCounterValue.html +159 -0
  267. data/ref/ruby/classes/MaximumCounterValue.src/M000035.html +23 -0
  268. data/ref/ruby/classes/MaximumCounterValue.src/M000036.html +21 -0
  269. data/ref/ruby/classes/ModuleInfo.html +199 -0
  270. data/ref/ruby/classes/ModuleInfo.src/M000018.html +44 -0
  271. data/ref/ruby/classes/ModuleInfo.src/M000019.html +26 -0
  272. data/ref/ruby/classes/OutputInfo.html +304 -0
  273. data/ref/ruby/classes/OutputInfo.src/M000017.html +51 -0
  274. data/ref/ruby/classes/RDoc.html +135 -0
  275. data/ref/ruby/classes/RDoc.src/M000051.html +40 -0
  276. data/ref/ruby/classes/ResettedCounterValue.html +174 -0
  277. data/ref/ruby/classes/ResettedCounterValue.src/M000014.html +19 -0
  278. data/ref/ruby/classes/ResettedCounterValue.src/M000015.html +18 -0
  279. data/ref/ruby/classes/ResettedCounterValue.src/M000016.html +23 -0
  280. data/ref/ruby/classes/RubyVPI.html +186 -0
  281. data/ref/ruby/classes/RubyVPI.src/M000049.html +18 -0
  282. data/ref/ruby/classes/RubyVPI.src/M000050.html +39 -0
  283. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.html +370 -0
  284. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000041.html +22 -0
  285. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000042.html +44 -0
  286. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000043.html +82 -0
  287. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000044.html +127 -0
  288. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000045.html +26 -0
  289. data/ref/ruby/classes/SWIG/TYPE_p_unsigned_int.src/M000046.html +18 -0
  290. data/ref/ruby/classes/SWIG.html +111 -0
  291. data/ref/ruby/classes/String.html +140 -0
  292. data/ref/ruby/classes/String.src/M000033.html +37 -0
  293. data/ref/ruby/classes/Template.html +158 -0
  294. data/ref/ruby/classes/Template.src/M000026.html +18 -0
  295. data/ref/ruby/classes/TestHw5UnitModel.html +180 -0
  296. data/ref/ruby/classes/TestHw5UnitModel.src/M000020.html +19 -0
  297. data/ref/ruby/classes/TestHw5UnitModel.src/M000021.html +19 -0
  298. data/ref/ruby/classes/TestHw5UnitModel.src/M000022.html +64 -0
  299. data/ref/ruby/created.rid +1 -0
  300. data/ref/ruby/files/bin/generate_test_rb.html +236 -0
  301. data/ref/ruby/files/bin/generate_test_rb.src/M000001.html +29 -0
  302. data/ref/ruby/files/bin/generate_test_tpl/bench_rb.html +115 -0
  303. data/ref/ruby/files/bin/generate_test_tpl/design_rb.html +107 -0
  304. data/ref/ruby/files/bin/generate_test_tpl/proto_rb.html +107 -0
  305. data/ref/ruby/files/bin/generate_test_tpl/spec_rb.html +140 -0
  306. data/ref/ruby/files/bin/generate_test_tpl/spec_rb.src/M000002.html +22 -0
  307. data/ref/ruby/files/bin/header_to_ruby_rb.html +116 -0
  308. data/ref/ruby/files/ext/extconf_rb.html +108 -0
  309. data/ref/ruby/files/gem_extconf_rb.html +114 -0
  310. data/ref/ruby/files/lib/ruby-vpi/erb_rb.html +108 -0
  311. data/ref/ruby/files/lib/ruby-vpi/rake_rb.html +108 -0
  312. data/ref/ruby/files/lib/ruby-vpi/rdoc_rb.html +108 -0
  313. data/ref/ruby/files/lib/ruby-vpi/rspec_rb.html +115 -0
  314. data/ref/ruby/files/lib/ruby-vpi/runner_rb.html +108 -0
  315. data/ref/ruby/files/lib/ruby-vpi/vpi_util_rb.html +108 -0
  316. data/ref/ruby/files/lib/ruby-vpi_rb.html +108 -0
  317. data/ref/ruby/files/samp/counter/counter_rspecTest_bench_rb.html +115 -0
  318. data/ref/ruby/files/samp/counter/counter_rspecTest_design_rb.html +107 -0
  319. data/ref/ruby/files/samp/counter/counter_rspecTest_proto_rb.html +107 -0
  320. data/ref/ruby/files/samp/counter/counter_rspecTest_spec_rb.html +142 -0
  321. data/ref/ruby/files/samp/counter/counter_unitTest_bench_rb.html +115 -0
  322. data/ref/ruby/files/samp/counter/counter_unitTest_design_rb.html +107 -0
  323. data/ref/ruby/files/samp/counter/counter_unitTest_proto_rb.html +107 -0
  324. data/ref/ruby/files/samp/counter/counter_unitTest_spec_rb.html +135 -0
  325. data/ref/ruby/files/samp/pipelined_alu/Hw5UnitModel_rb.html +101 -0
  326. data/ref/ruby/files/samp/pipelined_alu/InputGenerator_rb.html +101 -0
  327. data/ref/ruby/files/samp/pipelined_alu/TestHw5UnitModel_rb.html +111 -0
  328. data/ref/ruby/files/samp/pipelined_alu/hw5_unit_bench_rb.html +108 -0
  329. data/ref/ruby/files/samp/pipelined_alu/hw5_unit_design_rb.html +107 -0
  330. data/ref/ruby/files/samp/pipelined_alu/hw5_unit_spec_rb.html +112 -0
  331. data/ref/ruby/fr_class_index.html +46 -0
  332. data/ref/ruby/fr_file_index.html +55 -0
  333. data/ref/ruby/fr_method_index.html +77 -0
  334. data/ref/ruby/index.html +24 -0
  335. data/ref/ruby/rdoc-style.css +208 -0
  336. data/samp/counter/Rakefile +1 -0
  337. data/samp/counter/counter.v +20 -0
  338. data/samp/counter/counter_rspecTest_bench.rb +9 -0
  339. data/samp/counter/counter_rspecTest_bench.v +28 -0
  340. data/samp/counter/counter_rspecTest_design.rb +22 -0
  341. data/samp/counter/counter_rspecTest_proto.rb +10 -0
  342. data/samp/counter/counter_rspecTest_runner.rake +28 -0
  343. data/samp/counter/counter_rspecTest_spec.rb +47 -0
  344. data/samp/counter/counter_unitTest_bench.rb +9 -0
  345. data/samp/counter/counter_unitTest_bench.v +28 -0
  346. data/samp/counter/counter_unitTest_design.rb +22 -0
  347. data/samp/counter/counter_unitTest_proto.rb +10 -0
  348. data/samp/counter/counter_unitTest_runner.rake +28 -0
  349. data/samp/counter/counter_unitTest_spec.rb +49 -0
  350. data/samp/pipelined_alu/Hw5UnitModel.rb +134 -0
  351. data/samp/pipelined_alu/InputGenerator.rb +94 -0
  352. data/samp/pipelined_alu/README +127 -0
  353. data/samp/pipelined_alu/Rakefile +1 -0
  354. data/samp/pipelined_alu/TestHw5UnitModel.rb +88 -0
  355. data/samp/pipelined_alu/hw5_unit.v +186 -0
  356. data/samp/pipelined_alu/hw5_unit_bench.rb +8 -0
  357. data/samp/pipelined_alu/hw5_unit_bench.v +45 -0
  358. data/samp/pipelined_alu/hw5_unit_design.rb +18 -0
  359. data/samp/pipelined_alu/hw5_unit_runner.rake +10 -0
  360. data/samp/pipelined_alu/hw5_unit_spec.rb +123 -0
  361. data/tpl/launcher.rake +30 -0
  362. data/tpl/runner.rake +96 -0
  363. data/tpl/synopsys_vcs.tab +2 -0
  364. metadata +484 -0
data/ext/swig_wrap.cin ADDED
@@ -0,0 +1,4613 @@
1
+ /* ----------------------------------------------------------------------------
2
+ * This file was automatically generated by SWIG (http://www.swig.org).
3
+ * Version 1.3.27
4
+ *
5
+ * This file is not intended to be easily readable and contains a number of
6
+ * coding conventions designed to improve portability and efficiency. Do not make
7
+ * changes to this file unless you know what you are doing--modify the SWIG
8
+ * interface file instead.
9
+ * ----------------------------------------------------------------------------- */
10
+
11
+ /***********************************************************************
12
+ *
13
+ * This section contains generic SWIG labels for method/variable
14
+ * declarations/attributes, and other compiler dependent labels.
15
+ *
16
+ ************************************************************************/
17
+
18
+ /* template workaround for compilers that cannot correctly implement the C++ standard */
19
+ #ifndef SWIGTEMPLATEDISAMBIGUATOR
20
+ # if defined(__SUNPRO_CC) && (__SUNPRO_CC <= 0x560)
21
+ # define SWIGTEMPLATEDISAMBIGUATOR template
22
+ # else
23
+ # define SWIGTEMPLATEDISAMBIGUATOR
24
+ # endif
25
+ #endif
26
+
27
+ /* inline attribute */
28
+ #ifndef SWIGINLINE
29
+ # if defined(__cplusplus) || (defined(__GNUC__) && !defined(__STRICT_ANSI__))
30
+ # define SWIGINLINE inline
31
+ # else
32
+ # define SWIGINLINE
33
+ # endif
34
+ #endif
35
+
36
+ /* attribute recognised by some compilers to avoid 'unused' warnings */
37
+ #ifndef SWIGUNUSED
38
+ # if defined(__GNUC__) || defined(__ICC)
39
+ # define SWIGUNUSED __attribute__ ((unused))
40
+ # else
41
+ # define SWIGUNUSED
42
+ # endif
43
+ #endif
44
+
45
+ /* internal SWIG method */
46
+ #ifndef SWIGINTERN
47
+ # define SWIGINTERN static SWIGUNUSED
48
+ #endif
49
+
50
+ /* internal inline SWIG method */
51
+ #ifndef SWIGINTERNINLINE
52
+ # define SWIGINTERNINLINE SWIGINTERN SWIGINLINE
53
+ #endif
54
+
55
+ /* exporting methods for Windows DLLs */
56
+ #ifndef SWIGEXPORT
57
+ # if defined(_WIN32) || defined(__WIN32__) || defined(__CYGWIN__)
58
+ # if defined(STATIC_LINKED)
59
+ # define SWIGEXPORT
60
+ # else
61
+ # define SWIGEXPORT __declspec(dllexport)
62
+ # endif
63
+ # else
64
+ # define SWIGEXPORT
65
+ # endif
66
+ #endif
67
+
68
+ /* calling conventions for Windows */
69
+ #ifndef SWIGSTDCALL
70
+ # if defined(_WIN32) || defined(__WIN32__) || defined(__CYGWIN__)
71
+ # define SWIGSTDCALL __stdcall
72
+ # else
73
+ # define SWIGSTDCALL
74
+ # endif
75
+ #endif
76
+
77
+
78
+ /* ruby.swg */
79
+ /* Implementation : RUBY */
80
+ #define SWIGRUBY 1
81
+
82
+ #include "ruby.h"
83
+
84
+ /* Flags for pointer conversion */
85
+ #define SWIG_POINTER_EXCEPTION 0x1
86
+ #define SWIG_POINTER_OWN 0x1
87
+ #define SWIG_POINTER_DISOWN 0x2
88
+ #define SWIG_TRACK_OBJECTS 0x4
89
+
90
+ #define NUM2USHRT(n) (\
91
+ (0 <= NUM2UINT(n) && NUM2UINT(n) <= USHRT_MAX)\
92
+ ? (unsigned short) NUM2UINT(n) \
93
+ : (rb_raise(rb_eArgError, "integer %d out of range of `unsigned short'",\
94
+ NUM2UINT(n)), (short)0)\
95
+ )
96
+
97
+ #define NUM2SHRT(n) (\
98
+ (SHRT_MIN <= NUM2INT(n) && NUM2INT(n) <= SHRT_MAX)\
99
+ ? (short)NUM2INT(n)\
100
+ : (rb_raise(rb_eArgError, "integer %d out of range of `short'",\
101
+ NUM2INT(n)), (short)0)\
102
+ )
103
+
104
+ /* Ruby 1.7 defines NUM2LL(), LL2NUM() and ULL2NUM() macros */
105
+ #ifndef NUM2LL
106
+ #define NUM2LL(x) NUM2LONG((x))
107
+ #endif
108
+ #ifndef LL2NUM
109
+ #define LL2NUM(x) INT2NUM((long) (x))
110
+ #endif
111
+ #ifndef ULL2NUM
112
+ #define ULL2NUM(x) UINT2NUM((unsigned long) (x))
113
+ #endif
114
+
115
+ /* Ruby 1.7 doesn't (yet) define NUM2ULL() */
116
+ #ifndef NUM2ULL
117
+ #ifdef HAVE_LONG_LONG
118
+ #define NUM2ULL(x) rb_num2ull((x))
119
+ #else
120
+ #define NUM2ULL(x) NUM2ULONG(x)
121
+ #endif
122
+ #endif
123
+
124
+ /*
125
+ * Need to be very careful about how these macros are defined, especially
126
+ * when compiling C++ code or C code with an ANSI C compiler.
127
+ *
128
+ * VALUEFUNC(f) is a macro used to typecast a C function that implements
129
+ * a Ruby method so that it can be passed as an argument to API functions
130
+ * like rb_define_method() and rb_define_singleton_method().
131
+ *
132
+ * VOIDFUNC(f) is a macro used to typecast a C function that implements
133
+ * either the "mark" or "free" stuff for a Ruby Data object, so that it
134
+ * can be passed as an argument to API functions like Data_Wrap_Struct()
135
+ * and Data_Make_Struct().
136
+ */
137
+
138
+ #ifdef __cplusplus
139
+ # ifndef RUBY_METHOD_FUNC /* These definitions should work for Ruby 1.4.6 */
140
+ # define PROTECTFUNC(f) ((VALUE (*)()) f)
141
+ # define VALUEFUNC(f) ((VALUE (*)()) f)
142
+ # define VOIDFUNC(f) ((void (*)()) f)
143
+ # else
144
+ # ifndef ANYARGS /* These definitions should work for Ruby 1.6 */
145
+ # define PROTECTFUNC(f) ((VALUE (*)()) f)
146
+ # define VALUEFUNC(f) ((VALUE (*)()) f)
147
+ # define VOIDFUNC(f) ((RUBY_DATA_FUNC) f)
148
+ # else /* These definitions should work for Ruby 1.7+ */
149
+ # define PROTECTFUNC(f) ((VALUE (*)(VALUE)) f)
150
+ # define VALUEFUNC(f) ((VALUE (*)(ANYARGS)) f)
151
+ # define VOIDFUNC(f) ((RUBY_DATA_FUNC) f)
152
+ # endif
153
+ # endif
154
+ #else
155
+ # define VALUEFUNC(f) (f)
156
+ # define VOIDFUNC(f) (f)
157
+ #endif
158
+
159
+ typedef struct {
160
+ VALUE klass;
161
+ VALUE mImpl;
162
+ void (*mark)(void *);
163
+ void (*destroy)(void *);
164
+ } swig_class;
165
+
166
+ /* Don't use for expressions have side effect */
167
+ #ifndef RB_STRING_VALUE
168
+ #define RB_STRING_VALUE(s) (TYPE(s) == T_STRING ? (s) : (*(volatile VALUE *)&(s) = rb_str_to_str(s)))
169
+ #endif
170
+ #ifndef StringValue
171
+ #define StringValue(s) RB_STRING_VALUE(s)
172
+ #endif
173
+ #ifndef StringValuePtr
174
+ #define StringValuePtr(s) RSTRING(RB_STRING_VALUE(s))->ptr
175
+ #endif
176
+ #ifndef StringValueLen
177
+ #define StringValueLen(s) RSTRING(RB_STRING_VALUE(s))->len
178
+ #endif
179
+ #ifndef SafeStringValue
180
+ #define SafeStringValue(v) do {\
181
+ StringValue(v);\
182
+ rb_check_safe_str(v);\
183
+ } while (0)
184
+ #endif
185
+
186
+ #ifndef HAVE_RB_DEFINE_ALLOC_FUNC
187
+ #define rb_define_alloc_func(klass, func) rb_define_singleton_method((klass), "new", VALUEFUNC((func)), -1)
188
+ #define rb_undef_alloc_func(klass) rb_undef_method(CLASS_OF((klass)), "new")
189
+ #endif
190
+
191
+ /* Contract support */
192
+
193
+ #define SWIG_contract_assert(expr, msg) if (!(expr)) { rb_raise(rb_eRuntimeError, (char *) msg ); } else
194
+
195
+
196
+ /***********************************************************************
197
+ * swigrun.swg
198
+ *
199
+ * This file contains generic CAPI SWIG runtime support for pointer
200
+ * type checking.
201
+ *
202
+ ************************************************************************/
203
+
204
+ /* This should only be incremented when either the layout of swig_type_info changes,
205
+ or for whatever reason, the runtime changes incompatibly */
206
+ #define SWIG_RUNTIME_VERSION "2"
207
+
208
+ /* define SWIG_TYPE_TABLE_NAME as "SWIG_TYPE_TABLE" */
209
+ #ifdef SWIG_TYPE_TABLE
210
+ # define SWIG_QUOTE_STRING(x) #x
211
+ # define SWIG_EXPAND_AND_QUOTE_STRING(x) SWIG_QUOTE_STRING(x)
212
+ # define SWIG_TYPE_TABLE_NAME SWIG_EXPAND_AND_QUOTE_STRING(SWIG_TYPE_TABLE)
213
+ #else
214
+ # define SWIG_TYPE_TABLE_NAME
215
+ #endif
216
+
217
+ /*
218
+ You can use the SWIGRUNTIME and SWIGRUNTIMEINLINE macros for
219
+ creating a static or dynamic library from the swig runtime code.
220
+ In 99.9% of the cases, swig just needs to declare them as 'static'.
221
+
222
+ But only do this if is strictly necessary, ie, if you have problems
223
+ with your compiler or so.
224
+ */
225
+
226
+ #ifndef SWIGRUNTIME
227
+ # define SWIGRUNTIME SWIGINTERN
228
+ #endif
229
+
230
+ #ifndef SWIGRUNTIMEINLINE
231
+ # define SWIGRUNTIMEINLINE SWIGRUNTIME SWIGINLINE
232
+ #endif
233
+
234
+ #include <string.h>
235
+
236
+ #ifdef __cplusplus
237
+ extern "C" {
238
+ #endif
239
+
240
+ typedef void *(*swig_converter_func)(void *);
241
+ typedef struct swig_type_info *(*swig_dycast_func)(void **);
242
+
243
+ /* Structure to store inforomation on one type */
244
+ typedef struct swig_type_info {
245
+ const char *name; /* mangled name of this type */
246
+ const char *str; /* human readable name of this type */
247
+ swig_dycast_func dcast; /* dynamic cast function down a hierarchy */
248
+ struct swig_cast_info *cast; /* linked list of types that can cast into this type */
249
+ void *clientdata; /* language specific type data */
250
+ } swig_type_info;
251
+
252
+ /* Structure to store a type and conversion function used for casting */
253
+ typedef struct swig_cast_info {
254
+ swig_type_info *type; /* pointer to type that is equivalent to this type */
255
+ swig_converter_func converter; /* function to cast the void pointers */
256
+ struct swig_cast_info *next; /* pointer to next cast in linked list */
257
+ struct swig_cast_info *prev; /* pointer to the previous cast */
258
+ } swig_cast_info;
259
+
260
+ /* Structure used to store module information
261
+ * Each module generates one structure like this, and the runtime collects
262
+ * all of these structures and stores them in a circularly linked list.*/
263
+ typedef struct swig_module_info {
264
+ swig_type_info **types; /* Array of pointers to swig_type_info structures that are in this module */
265
+ size_t size; /* Number of types in this module */
266
+ struct swig_module_info *next; /* Pointer to next element in circularly linked list */
267
+ swig_type_info **type_initial; /* Array of initially generated type structures */
268
+ swig_cast_info **cast_initial; /* Array of initially generated casting structures */
269
+ void *clientdata; /* Language specific module data */
270
+ } swig_module_info;
271
+
272
+
273
+ /*
274
+ Compare two type names skipping the space characters, therefore
275
+ "char*" == "char *" and "Class<int>" == "Class<int >", etc.
276
+
277
+ Return 0 when the two name types are equivalent, as in
278
+ strncmp, but skipping ' '.
279
+ */
280
+ SWIGRUNTIME int
281
+ SWIG_TypeNameComp(const char *f1, const char *l1,
282
+ const char *f2, const char *l2) {
283
+ for (;(f1 != l1) && (f2 != l2); ++f1, ++f2) {
284
+ while ((*f1 == ' ') && (f1 != l1)) ++f1;
285
+ while ((*f2 == ' ') && (f2 != l2)) ++f2;
286
+ if (*f1 != *f2) return (int)(*f1 - *f2);
287
+ }
288
+ return (l1 - f1) - (l2 - f2);
289
+ }
290
+
291
+ /*
292
+ Check type equivalence in a name list like <name1>|<name2>|...
293
+ Return 0 if not equal, 1 if equal
294
+ */
295
+ SWIGRUNTIME int
296
+ SWIG_TypeEquiv(const char *nb, const char *tb) {
297
+ int equiv = 0;
298
+ const char* te = tb + strlen(tb);
299
+ const char* ne = nb;
300
+ while (!equiv && *ne) {
301
+ for (nb = ne; *ne; ++ne) {
302
+ if (*ne == '|') break;
303
+ }
304
+ equiv = (SWIG_TypeNameComp(nb, ne, tb, te) == 0) ? 1 : 0;
305
+ if (*ne) ++ne;
306
+ }
307
+ return equiv;
308
+ }
309
+
310
+ /*
311
+ Check type equivalence in a name list like <name1>|<name2>|...
312
+ Return 0 if equal, -1 if nb < tb, 1 if nb > tb
313
+ */
314
+ SWIGRUNTIME int
315
+ SWIG_TypeCompare(const char *nb, const char *tb) {
316
+ int equiv = 0;
317
+ const char* te = tb + strlen(tb);
318
+ const char* ne = nb;
319
+ while (!equiv && *ne) {
320
+ for (nb = ne; *ne; ++ne) {
321
+ if (*ne == '|') break;
322
+ }
323
+ equiv = (SWIG_TypeNameComp(nb, ne, tb, te) == 0) ? 1 : 0;
324
+ if (*ne) ++ne;
325
+ }
326
+ return equiv;
327
+ }
328
+
329
+
330
+ /* think of this as a c++ template<> or a scheme macro */
331
+ #define SWIG_TypeCheck_Template(comparison, ty) \
332
+ if (ty) { \
333
+ swig_cast_info *iter = ty->cast; \
334
+ while (iter) { \
335
+ if (comparison) { \
336
+ if (iter == ty->cast) return iter; \
337
+ /* Move iter to the top of the linked list */ \
338
+ iter->prev->next = iter->next; \
339
+ if (iter->next) \
340
+ iter->next->prev = iter->prev; \
341
+ iter->next = ty->cast; \
342
+ iter->prev = 0; \
343
+ if (ty->cast) ty->cast->prev = iter; \
344
+ ty->cast = iter; \
345
+ return iter; \
346
+ } \
347
+ iter = iter->next; \
348
+ } \
349
+ } \
350
+ return 0
351
+
352
+ /*
353
+ Check the typename
354
+ */
355
+ SWIGRUNTIME swig_cast_info *
356
+ SWIG_TypeCheck(const char *c, swig_type_info *ty) {
357
+ SWIG_TypeCheck_Template(strcmp(iter->type->name, c) == 0, ty);
358
+ }
359
+
360
+ /* Same as previous function, except strcmp is replaced with a pointer comparison */
361
+ SWIGRUNTIME swig_cast_info *
362
+ SWIG_TypeCheckStruct(swig_type_info *from, swig_type_info *into) {
363
+ SWIG_TypeCheck_Template(iter->type == from, into);
364
+ }
365
+
366
+ /*
367
+ Cast a pointer up an inheritance hierarchy
368
+ */
369
+ SWIGRUNTIMEINLINE void *
370
+ SWIG_TypeCast(swig_cast_info *ty, void *ptr) {
371
+ return ((!ty) || (!ty->converter)) ? ptr : (*ty->converter)(ptr);
372
+ }
373
+
374
+ /*
375
+ Dynamic pointer casting. Down an inheritance hierarchy
376
+ */
377
+ SWIGRUNTIME swig_type_info *
378
+ SWIG_TypeDynamicCast(swig_type_info *ty, void **ptr) {
379
+ swig_type_info *lastty = ty;
380
+ if (!ty || !ty->dcast) return ty;
381
+ while (ty && (ty->dcast)) {
382
+ ty = (*ty->dcast)(ptr);
383
+ if (ty) lastty = ty;
384
+ }
385
+ return lastty;
386
+ }
387
+
388
+ /*
389
+ Return the name associated with this type
390
+ */
391
+ SWIGRUNTIMEINLINE const char *
392
+ SWIG_TypeName(const swig_type_info *ty) {
393
+ return ty->name;
394
+ }
395
+
396
+ /*
397
+ Return the pretty name associated with this type,
398
+ that is an unmangled type name in a form presentable to the user.
399
+ */
400
+ SWIGRUNTIME const char *
401
+ SWIG_TypePrettyName(const swig_type_info *type) {
402
+ /* The "str" field contains the equivalent pretty names of the
403
+ type, separated by vertical-bar characters. We choose
404
+ to print the last name, as it is often (?) the most
405
+ specific. */
406
+ if (type->str != NULL) {
407
+ const char *last_name = type->str;
408
+ const char *s;
409
+ for (s = type->str; *s; s++)
410
+ if (*s == '|') last_name = s+1;
411
+ return last_name;
412
+ }
413
+ else
414
+ return type->name;
415
+ }
416
+
417
+ /*
418
+ Set the clientdata field for a type
419
+ */
420
+ SWIGRUNTIME void
421
+ SWIG_TypeClientData(swig_type_info *ti, void *clientdata) {
422
+ swig_cast_info *cast = ti->cast;
423
+ /* if (ti->clientdata == clientdata) return; */
424
+ ti->clientdata = clientdata;
425
+
426
+ while (cast) {
427
+ if (!cast->converter) {
428
+ swig_type_info *tc = cast->type;
429
+ if (!tc->clientdata) {
430
+ SWIG_TypeClientData(tc, clientdata);
431
+ }
432
+ }
433
+ cast = cast->next;
434
+ }
435
+ }
436
+
437
+ /*
438
+ Search for a swig_type_info structure only by mangled name
439
+ Search is a O(log #types)
440
+
441
+ We start searching at module start, and finish searching when start == end.
442
+ Note: if start == end at the beginning of the function, we go all the way around
443
+ the circular list.
444
+ */
445
+ SWIGRUNTIME swig_type_info *
446
+ SWIG_MangledTypeQueryModule(swig_module_info *start,
447
+ swig_module_info *end,
448
+ const char *name) {
449
+ swig_module_info *iter = start;
450
+ do {
451
+ if (iter->size) {
452
+ register size_t l = 0;
453
+ register size_t r = iter->size - 1;
454
+ do {
455
+ /* since l+r >= 0, we can (>> 1) instead (/ 2) */
456
+ register size_t i = (l + r) >> 1;
457
+ const char *iname = iter->types[i]->name;
458
+ if (iname) {
459
+ register int compare = strcmp(name, iname);
460
+ if (compare == 0) {
461
+ return iter->types[i];
462
+ } else if (compare < 0) {
463
+ if (i) {
464
+ r = i - 1;
465
+ } else {
466
+ break;
467
+ }
468
+ } else if (compare > 0) {
469
+ l = i + 1;
470
+ }
471
+ } else {
472
+ break; /* should never happen */
473
+ }
474
+ } while (l <= r);
475
+ }
476
+ iter = iter->next;
477
+ } while (iter != end);
478
+ return 0;
479
+ }
480
+
481
+ /*
482
+ Search for a swig_type_info structure for either a mangled name or a human readable name.
483
+ It first searches the mangled names of the types, which is a O(log #types)
484
+ If a type is not found it then searches the human readable names, which is O(#types).
485
+
486
+ We start searching at module start, and finish searching when start == end.
487
+ Note: if start == end at the beginning of the function, we go all the way around
488
+ the circular list.
489
+ */
490
+ SWIGRUNTIME swig_type_info *
491
+ SWIG_TypeQueryModule(swig_module_info *start,
492
+ swig_module_info *end,
493
+ const char *name) {
494
+ /* STEP 1: Search the name field using binary search */
495
+ swig_type_info *ret = SWIG_MangledTypeQueryModule(start, end, name);
496
+ if (ret) {
497
+ return ret;
498
+ } else {
499
+ /* STEP 2: If the type hasn't been found, do a complete search
500
+ of the str field (the human readable name) */
501
+ swig_module_info *iter = start;
502
+ do {
503
+ register size_t i = 0;
504
+ for (; i < iter->size; ++i) {
505
+ if (iter->types[i]->str && (SWIG_TypeEquiv(iter->types[i]->str, name)))
506
+ return iter->types[i];
507
+ }
508
+ iter = iter->next;
509
+ } while (iter != end);
510
+ }
511
+
512
+ /* neither found a match */
513
+ return 0;
514
+ }
515
+
516
+
517
+ /*
518
+ Pack binary data into a string
519
+ */
520
+ SWIGRUNTIME char *
521
+ SWIG_PackData(char *c, void *ptr, size_t sz) {
522
+ static const char hex[17] = "0123456789abcdef";
523
+ register const unsigned char *u = (unsigned char *) ptr;
524
+ register const unsigned char *eu = u + sz;
525
+ for (; u != eu; ++u) {
526
+ register unsigned char uu = *u;
527
+ *(c++) = hex[(uu & 0xf0) >> 4];
528
+ *(c++) = hex[uu & 0xf];
529
+ }
530
+ return c;
531
+ }
532
+
533
+ /*
534
+ Unpack binary data from a string
535
+ */
536
+ SWIGRUNTIME const char *
537
+ SWIG_UnpackData(const char *c, void *ptr, size_t sz) {
538
+ register unsigned char *u = (unsigned char *) ptr;
539
+ register const unsigned char *eu = u + sz;
540
+ for (; u != eu; ++u) {
541
+ register char d = *(c++);
542
+ register unsigned char uu = 0;
543
+ if ((d >= '0') && (d <= '9'))
544
+ uu = ((d - '0') << 4);
545
+ else if ((d >= 'a') && (d <= 'f'))
546
+ uu = ((d - ('a'-10)) << 4);
547
+ else
548
+ return (char *) 0;
549
+ d = *(c++);
550
+ if ((d >= '0') && (d <= '9'))
551
+ uu |= (d - '0');
552
+ else if ((d >= 'a') && (d <= 'f'))
553
+ uu |= (d - ('a'-10));
554
+ else
555
+ return (char *) 0;
556
+ *u = uu;
557
+ }
558
+ return c;
559
+ }
560
+
561
+ /*
562
+ Pack 'void *' into a string buffer.
563
+ */
564
+ SWIGRUNTIME char *
565
+ SWIG_PackVoidPtr(char *buff, void *ptr, const char *name, size_t bsz) {
566
+ char *r = buff;
567
+ if ((2*sizeof(void *) + 2) > bsz) return 0;
568
+ *(r++) = '_';
569
+ r = SWIG_PackData(r,&ptr,sizeof(void *));
570
+ if (strlen(name) + 1 > (bsz - (r - buff))) return 0;
571
+ strcpy(r,name);
572
+ return buff;
573
+ }
574
+
575
+ SWIGRUNTIME const char *
576
+ SWIG_UnpackVoidPtr(const char *c, void **ptr, const char *name) {
577
+ if (*c != '_') {
578
+ if (strcmp(c,"NULL") == 0) {
579
+ *ptr = (void *) 0;
580
+ return name;
581
+ } else {
582
+ return 0;
583
+ }
584
+ }
585
+ return SWIG_UnpackData(++c,ptr,sizeof(void *));
586
+ }
587
+
588
+ SWIGRUNTIME char *
589
+ SWIG_PackDataName(char *buff, void *ptr, size_t sz, const char *name, size_t bsz) {
590
+ char *r = buff;
591
+ size_t lname = (name ? strlen(name) : 0);
592
+ if ((2*sz + 2 + lname) > bsz) return 0;
593
+ *(r++) = '_';
594
+ r = SWIG_PackData(r,ptr,sz);
595
+ if (lname) {
596
+ strncpy(r,name,lname+1);
597
+ } else {
598
+ *r = 0;
599
+ }
600
+ return buff;
601
+ }
602
+
603
+ SWIGRUNTIME const char *
604
+ SWIG_UnpackDataName(const char *c, void *ptr, size_t sz, const char *name) {
605
+ if (*c != '_') {
606
+ if (strcmp(c,"NULL") == 0) {
607
+ memset(ptr,0,sz);
608
+ return name;
609
+ } else {
610
+ return 0;
611
+ }
612
+ }
613
+ return SWIG_UnpackData(++c,ptr,sz);
614
+ }
615
+
616
+ #ifdef __cplusplus
617
+ }
618
+ #endif
619
+
620
+ /***********************************************************************
621
+ * rubytracking.swg
622
+ *
623
+ * This file contains support for tracking mappings from
624
+ * Ruby objects to C++ objects. This functionality is needed
625
+ * to implement mark functions for Ruby's mark and sweep
626
+ * garbage collector.
627
+ ************************************************************************/
628
+
629
+ /* Global Ruby hash table to store Trackings from C/C++
630
+ structs to Ruby Objects. */
631
+ static VALUE swig_ruby_trackings;
632
+
633
+ /* Setup a Ruby hash table to store Trackings */
634
+ static void SWIG_RubyInitializeTrackings() {
635
+ /* Create a ruby hash table to store Trackings from C++
636
+ objects to Ruby objects. Also make sure to tell
637
+ the garabage collector about the hash table. */
638
+ swig_ruby_trackings = rb_hash_new();
639
+ rb_gc_register_address(&swig_ruby_trackings);
640
+ }
641
+
642
+ /* Get a Ruby number to reference a pointer */
643
+ static VALUE SWIG_RubyPtrToReference(void* ptr) {
644
+ /* We cast the pointer to an unsigned long
645
+ and then store a reference to it using
646
+ a Ruby number object. */
647
+
648
+ /* Convert the pointer to a Ruby number */
649
+ unsigned long value = (unsigned long) ptr;
650
+ return LONG2NUM(value);
651
+ }
652
+
653
+ /* Get a Ruby number to reference an object */
654
+ static VALUE SWIG_RubyObjectToReference(VALUE object) {
655
+ /* We cast the object to an unsigned long
656
+ and then store a reference to it using
657
+ a Ruby number object. */
658
+
659
+ /* Convert the Object to a Ruby number */
660
+ unsigned long value = (unsigned long) object;
661
+ return LONG2NUM(value);
662
+ }
663
+
664
+ /* Get a Ruby object from a previously stored reference */
665
+ static VALUE SWIG_RubyReferenceToObject(VALUE reference) {
666
+ /* The provided Ruby number object is a reference
667
+ to the Ruby object we want.*/
668
+
669
+ /* First convert the Ruby number to a C number */
670
+ unsigned long value = NUM2LONG(reference);
671
+ return (VALUE) value;
672
+ }
673
+
674
+ /* Add a Tracking from a C/C++ struct to a Ruby object */
675
+ static void SWIG_RubyAddTracking(void* ptr, VALUE object) {
676
+ /* In a Ruby hash table we store the pointer and
677
+ the associated Ruby object. The trick here is
678
+ that we cannot store the Ruby object directly - if
679
+ we do then it cannot be garbage collected. So
680
+ instead we typecast it as a unsigned long and
681
+ convert it to a Ruby number object.*/
682
+
683
+ /* Get a reference to the pointer as a Ruby number */
684
+ VALUE key = SWIG_RubyPtrToReference(ptr);
685
+
686
+ /* Get a reference to the Ruby object as a Ruby number */
687
+ VALUE value = SWIG_RubyObjectToReference(object);
688
+
689
+ /* Store the mapping to the global hash table. */
690
+ rb_hash_aset(swig_ruby_trackings, key, value);
691
+ }
692
+
693
+ /* Get the Ruby object that owns the specified C/C++ struct */
694
+ static VALUE SWIG_RubyInstanceFor(void* ptr) {
695
+ /* Get a reference to the pointer as a Ruby number */
696
+ VALUE key = SWIG_RubyPtrToReference(ptr);
697
+
698
+ /* Now lookup the value stored in the global hash table */
699
+ VALUE value = rb_hash_aref(swig_ruby_trackings, key);
700
+
701
+ if (value == Qnil) {
702
+ /* No object exists - return nil. */
703
+ return Qnil;
704
+ }
705
+ else {
706
+ /* Convert this value to Ruby object */
707
+ return SWIG_RubyReferenceToObject(value);
708
+ }
709
+ }
710
+
711
+ /* Remove a Tracking from a C/C++ struct to a Ruby object */
712
+ static void SWIG_RubyRemoveTracking(void* ptr) {
713
+ /* Get a reference to the pointer as a Ruby number */
714
+ VALUE key = SWIG_RubyPtrToReference(ptr);
715
+
716
+ /* Define delete method - in C++ this could be marked as
717
+ static but unfortunately not in C. */
718
+ VALUE delete_function = rb_intern("delete");
719
+
720
+ /* Delete the object from the hash table by calling Ruby's
721
+ do this we need to call the Hash.delete method.*/
722
+ rb_funcall(swig_ruby_trackings, delete_function, 1, key);
723
+ }
724
+
725
+ /* This is a helper method that unlinks a Ruby object from its
726
+ underlying C++ object. This is needed if the lifetime of the
727
+ Ruby object is longer than the C++ object */
728
+ static void SWIG_RubyUnlinkObjects(void* ptr) {
729
+ VALUE object = SWIG_RubyInstanceFor(ptr);
730
+
731
+ if (object != Qnil) {
732
+ DATA_PTR(object) = 0;
733
+ }
734
+ }
735
+
736
+ /* Common SWIG API */
737
+ #define SWIG_ConvertPtr(obj, pp, type, flags) \
738
+ SWIG_Ruby_ConvertPtr(obj, pp, type, flags)
739
+ #define SWIG_NewPointerObj(p, type, flags) \
740
+ SWIG_Ruby_NewPointerObj(p, type, flags)
741
+ #define SWIG_MustGetPtr(p, type, argnum, flags) \
742
+ SWIG_Ruby_MustGetPtr(p, type, argnum, flags)
743
+ #define SWIG_GetModule(clientdata) \
744
+ SWIG_Ruby_GetModule()
745
+ #define SWIG_SetModule(clientdata, pointer) \
746
+ SWIG_Ruby_SetModule(pointer)
747
+
748
+ /* Ruby-specific SWIG API */
749
+
750
+ #define SWIG_InitRuntime() \
751
+ SWIG_Ruby_InitRuntime()
752
+ #define SWIG_define_class(ty) \
753
+ SWIG_Ruby_define_class(ty)
754
+ #define SWIG_NewClassInstance(value, ty) \
755
+ SWIG_Ruby_NewClassInstance(value, ty)
756
+ #define SWIG_MangleStr(value) \
757
+ SWIG_Ruby_MangleStr(value)
758
+ #define SWIG_CheckConvert(value, ty) \
759
+ SWIG_Ruby_CheckConvert(value, ty)
760
+ #define SWIG_NewPackedObj(ptr, sz, ty) \
761
+ SWIG_Ruby_NewPackedObj(ptr, sz, ty)
762
+ #define SWIG_ConvertPacked(obj, ptr, sz, ty, flags) \
763
+ SWIG_Ruby_ConvertPacked(obj, ptr, sz, ty, flags)
764
+
765
+ /* rubydef.swg */
766
+ #ifdef __cplusplus
767
+ extern "C" {
768
+ #endif
769
+
770
+ static VALUE _mSWIG = Qnil;
771
+ static VALUE _cSWIG_Pointer = Qnil;
772
+ static VALUE swig_runtime_data_type_pointer = Qnil;
773
+
774
+ /* Initialize Ruby runtime support */
775
+ static void
776
+ SWIG_Ruby_InitRuntime(void)
777
+ {
778
+ if (_mSWIG == Qnil) {
779
+ _mSWIG = rb_define_module("SWIG");
780
+ }
781
+ }
782
+
783
+ /* Define Ruby class for C type */
784
+ static void
785
+ SWIG_Ruby_define_class(swig_type_info *type)
786
+ {
787
+ VALUE klass;
788
+ char *klass_name = (char *) malloc(4 + strlen(type->name) + 1);
789
+ sprintf(klass_name, "TYPE%s", type->name);
790
+ if (NIL_P(_cSWIG_Pointer)) {
791
+ _cSWIG_Pointer = rb_define_class_under(_mSWIG, "Pointer", rb_cObject);
792
+ rb_undef_method(CLASS_OF(_cSWIG_Pointer), "new");
793
+ }
794
+ klass = rb_define_class_under(_mSWIG, klass_name, _cSWIG_Pointer);
795
+ free((void *) klass_name);
796
+ }
797
+
798
+ /* Create a new pointer object */
799
+ static VALUE
800
+ SWIG_Ruby_NewPointerObj(void *ptr, swig_type_info *type, int flags)
801
+ {
802
+ int own = flags & SWIG_POINTER_OWN;
803
+ int track = flags & SWIG_TRACK_OBJECTS;
804
+
805
+ char *klass_name;
806
+ swig_class *sklass;
807
+ VALUE klass;
808
+ VALUE obj;
809
+
810
+ if (!ptr)
811
+ return Qnil;
812
+
813
+ /* Have we already wrapped this pointer? */
814
+ if (track) {
815
+ obj = SWIG_RubyInstanceFor(ptr);
816
+ if (obj != Qnil) {
817
+ return obj;
818
+ }
819
+ }
820
+
821
+ if (type->clientdata) {
822
+ sklass = (swig_class *) type->clientdata;
823
+ obj = Data_Wrap_Struct(sklass->klass, VOIDFUNC(sklass->mark), (own ? VOIDFUNC(sklass->destroy) : 0), ptr);
824
+ } else {
825
+ klass_name = (char *) malloc(4 + strlen(type->name) + 1);
826
+ sprintf(klass_name, "TYPE%s", type->name);
827
+ klass = rb_const_get(_mSWIG, rb_intern(klass_name));
828
+ free((void *) klass_name);
829
+ obj = Data_Wrap_Struct(klass, 0, 0, ptr);
830
+ }
831
+ rb_iv_set(obj, "__swigtype__", rb_str_new2(type->name));
832
+
833
+ /* Keep track of this object if necessary */
834
+ if (track) {
835
+ SWIG_RubyAddTracking(ptr, obj);
836
+ }
837
+
838
+ return obj;
839
+ }
840
+
841
+ /* Create a new class instance (always owned) */
842
+ static VALUE
843
+ SWIG_Ruby_NewClassInstance(VALUE klass, swig_type_info *type)
844
+ {
845
+ VALUE obj;
846
+ swig_class *sklass = (swig_class *) type->clientdata;
847
+ obj = Data_Wrap_Struct(klass, VOIDFUNC(sklass->mark), VOIDFUNC(sklass->destroy), 0);
848
+ rb_iv_set(obj, "__swigtype__", rb_str_new2(type->name));
849
+ return obj;
850
+ }
851
+
852
+ /* Get type mangle from class name */
853
+ static SWIGINLINE char *
854
+ SWIG_Ruby_MangleStr(VALUE obj)
855
+ {
856
+ VALUE stype = rb_iv_get(obj, "__swigtype__");
857
+ return StringValuePtr(stype);
858
+ }
859
+
860
+ /* Convert a pointer value */
861
+ static int
862
+ SWIG_Ruby_ConvertPtr(VALUE obj, void **ptr, swig_type_info *ty, int flags)
863
+ {
864
+ char *c;
865
+ swig_cast_info *tc;
866
+
867
+ /* Grab the pointer */
868
+ if (NIL_P(obj)) {
869
+ *ptr = 0;
870
+ return 0;
871
+ } else {
872
+ Data_Get_Struct(obj, void, *ptr);
873
+ }
874
+
875
+ /* Check to see if the input object is giving up ownership
876
+ of the underlying C struct or C++ object. If so then we
877
+ need to reset the destructor since the Ruby object no
878
+ longer owns the underlying C++ object.*/
879
+ if (flags & SWIG_POINTER_DISOWN) {
880
+ if (flags & SWIG_TRACK_OBJECTS) {
881
+ /* We are tracking objects. Thus we change the destructor
882
+ * to SWIG_RubyRemoveTracking. This allows us to
883
+ * remove the mapping from the C++ to Ruby object
884
+ * when the Ruby object is garbage collected. If we don't
885
+ * do this, then it is possible we will return a reference
886
+ * to a Ruby object that no longer exists thereby crashing Ruby. */
887
+ RDATA(obj)->dfree = SWIG_RubyRemoveTracking;
888
+ } else {
889
+ RDATA(obj)->dfree = 0;
890
+ }
891
+ }
892
+
893
+ /* Do type-checking if type info was provided */
894
+ if (ty) {
895
+ if (ty->clientdata) {
896
+ if (rb_obj_is_kind_of(obj, ((swig_class *) (ty->clientdata))->klass)) {
897
+ if (*ptr == 0)
898
+ rb_raise(rb_eRuntimeError, "This %s already released", ty->str);
899
+ return 0;
900
+ }
901
+ }
902
+ if ((c = SWIG_MangleStr(obj)) == NULL) {
903
+ if (flags & SWIG_POINTER_EXCEPTION)
904
+ rb_raise(rb_eTypeError, "Expected %s", ty->str);
905
+ else
906
+ return -1;
907
+ }
908
+ tc = SWIG_TypeCheck(c, ty);
909
+ if (!tc) {
910
+ if (flags & SWIG_POINTER_EXCEPTION)
911
+ rb_raise(rb_eTypeError, "Expected %s", ty->str);
912
+ else
913
+ return -1;
914
+ }
915
+ *ptr = SWIG_TypeCast(tc, *ptr);
916
+ }
917
+ return 0;
918
+ }
919
+
920
+ /* Convert a pointer value, signal an exception on a type mismatch */
921
+ static SWIGINLINE void *
922
+ SWIG_Ruby_MustGetPtr(VALUE obj, swig_type_info *ty, int argnum, int flags)
923
+ {
924
+ void *result;
925
+ SWIG_ConvertPtr(obj, &result, ty, flags | SWIG_POINTER_EXCEPTION);
926
+ return result;
927
+ }
928
+
929
+ /* Check convert */
930
+ static SWIGINLINE int
931
+ SWIG_Ruby_CheckConvert(VALUE obj, swig_type_info *ty)
932
+ {
933
+ char *c = SWIG_MangleStr(obj);
934
+ if (!c)
935
+ return 0;
936
+ return SWIG_TypeCheck(c,ty) != 0;
937
+ }
938
+
939
+ static VALUE
940
+ SWIG_Ruby_NewPackedObj(void *ptr, int sz, swig_type_info *type) {
941
+ char result[1024];
942
+ char *r = result;
943
+ if ((2*sz + 1 + strlen(type->name)) > 1000) return 0;
944
+ *(r++) = '_';
945
+ r = SWIG_PackData(r, ptr, sz);
946
+ strcpy(r, type->name);
947
+ return rb_str_new2(result);
948
+ }
949
+
950
+ /* Convert a packed value value */
951
+ static void
952
+ SWIG_Ruby_ConvertPacked(VALUE obj, void *ptr, int sz, swig_type_info *ty, int flags) {
953
+ swig_cast_info *tc;
954
+ const char *c;
955
+
956
+ if (TYPE(obj) != T_STRING) goto type_error;
957
+ c = StringValuePtr(obj);
958
+ /* Pointer values must start with leading underscore */
959
+ if (*c != '_') goto type_error;
960
+ c++;
961
+ c = SWIG_UnpackData(c, ptr, sz);
962
+ if (ty) {
963
+ tc = SWIG_TypeCheck(c, ty);
964
+ if (!tc) goto type_error;
965
+ }
966
+ return;
967
+
968
+ type_error:
969
+
970
+ if (flags) {
971
+ if (ty) {
972
+ rb_raise(rb_eTypeError, "Type error. Expected %s", ty->name);
973
+ } else {
974
+ rb_raise(rb_eTypeError, "Expected a pointer");
975
+ }
976
+ }
977
+ }
978
+
979
+ static swig_module_info *SWIG_Ruby_GetModule() {
980
+ VALUE pointer;
981
+ swig_module_info *ret = 0;
982
+
983
+ /* first check if pointer already created */
984
+ pointer = rb_gv_get("$swig_runtime_data_type_pointer" SWIG_RUNTIME_VERSION SWIG_TYPE_TABLE_NAME);
985
+ if (pointer != Qnil) {
986
+ Data_Get_Struct(pointer, swig_module_info, ret);
987
+ }
988
+ return ret;
989
+ }
990
+
991
+ static void SWIG_Ruby_SetModule(swig_module_info *pointer) {
992
+ /* register a new class */
993
+ VALUE cl = rb_define_class("swig_runtime_data", rb_cObject);
994
+ /* create and store the structure pointer to a global variable */
995
+ swig_runtime_data_type_pointer = Data_Wrap_Struct(cl, 0, 0, pointer);
996
+ rb_define_readonly_variable("$swig_runtime_data_type_pointer" SWIG_RUNTIME_VERSION SWIG_TYPE_TABLE_NAME, &swig_runtime_data_type_pointer);
997
+ }
998
+
999
+ #ifdef __cplusplus
1000
+ }
1001
+ #endif
1002
+
1003
+
1004
+
1005
+ /* -------- TYPES TABLE (BEGIN) -------- */
1006
+
1007
+ #define SWIGTYPE_p_char swig_types[0]
1008
+ #define SWIGTYPE_p_f_p_char__int swig_types[1]
1009
+ #define SWIGTYPE_p_f_p_struct_t_cb_data__int swig_types[2]
1010
+ #define SWIGTYPE_p_int swig_types[3]
1011
+ #define SWIGTYPE_p_p_char swig_types[4]
1012
+ #define SWIGTYPE_p_p_f___void swig_types[5]
1013
+ #define SWIGTYPE_p_s_vpi_value_value swig_types[6]
1014
+ #define SWIGTYPE_p_short swig_types[7]
1015
+ #define SWIGTYPE_p_t_cb_data swig_types[8]
1016
+ #define SWIGTYPE_p_t_vpi_delay swig_types[9]
1017
+ #define SWIGTYPE_p_t_vpi_error_info swig_types[10]
1018
+ #define SWIGTYPE_p_t_vpi_strengthval swig_types[11]
1019
+ #define SWIGTYPE_p_t_vpi_systf_data swig_types[12]
1020
+ #define SWIGTYPE_p_t_vpi_time swig_types[13]
1021
+ #define SWIGTYPE_p_t_vpi_value swig_types[14]
1022
+ #define SWIGTYPE_p_t_vpi_vecval swig_types[15]
1023
+ #define SWIGTYPE_p_t_vpi_vlog_info swig_types[16]
1024
+ #define SWIGTYPE_p_unsigned_char swig_types[17]
1025
+ #define SWIGTYPE_p_unsigned_int swig_types[18]
1026
+ #define SWIGTYPE_p_unsigned_long swig_types[19]
1027
+ #define SWIGTYPE_p_unsigned_short swig_types[20]
1028
+ #define SWIGTYPE_p_void swig_types[21]
1029
+ static swig_type_info *swig_types[23];
1030
+ static swig_module_info swig_module = {swig_types, 22, 0, 0, 0, 0};
1031
+ #define SWIG_TypeQuery(name) SWIG_TypeQueryModule(&swig_module, &swig_module, name)
1032
+ #define SWIG_MangledTypeQuery(name) SWIG_MangledTypeQueryModule(&swig_module, &swig_module, name)
1033
+
1034
+ /* -------- TYPES TABLE (END) -------- */
1035
+
1036
+ #define SWIG_init Init_vpi
1037
+ #define SWIG_name "Vpi"
1038
+
1039
+ static VALUE mVpi;
1040
+
1041
+ static void SWIG_AsVal(VALUE obj, int *val)
1042
+ {
1043
+ *val = (int) NUM2INT(obj);
1044
+ }
1045
+
1046
+
1047
+ /* Includes the header in the wrapper code */
1048
+ #include "swig_vpi.h"
1049
+
1050
+
1051
+ typedef union {
1052
+ PLI_BYTE8 *str; /* string value */
1053
+ PLI_INT32 scalar; /* vpi[0,1,X,Z] */
1054
+ PLI_INT32 integer; /* integer value */
1055
+ double real; /* real value */
1056
+ struct t_vpi_time *time; /* time value */
1057
+ struct t_vpi_vecval *vector; /* vector value */
1058
+ struct t_vpi_strengthval *strength; /* strength value */
1059
+ PLI_BYTE8 *misc; /* ...other */
1060
+ } s_vpi_value_value;
1061
+
1062
+
1063
+ swig_class cS_vpi_time;
1064
+
1065
+ static VALUE
1066
+ _wrap_s_vpi_time_type_set(int argc, VALUE *argv, VALUE self) {
1067
+ s_vpi_time *arg1 = (s_vpi_time *) 0 ;
1068
+ PLI_INT32 arg2 ;
1069
+
1070
+ if ((argc < 1) || (argc > 1))
1071
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1072
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_time, 0);
1073
+ arg2 = NUM2INT(argv[0]);
1074
+ if (arg1) (arg1)->type = arg2;
1075
+
1076
+ return Qnil;
1077
+ }
1078
+
1079
+
1080
+ static VALUE
1081
+ _wrap_s_vpi_time_type_get(int argc, VALUE *argv, VALUE self) {
1082
+ s_vpi_time *arg1 = (s_vpi_time *) 0 ;
1083
+ PLI_INT32 result;
1084
+ VALUE vresult = Qnil;
1085
+
1086
+ if ((argc < 0) || (argc > 0))
1087
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1088
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_time, 0);
1089
+ result = (PLI_INT32) ((arg1)->type);
1090
+
1091
+ vresult = INT2NUM(result);
1092
+ return vresult;
1093
+ }
1094
+
1095
+
1096
+ static VALUE
1097
+ _wrap_s_vpi_time_high_set(int argc, VALUE *argv, VALUE self) {
1098
+ s_vpi_time *arg1 = (s_vpi_time *) 0 ;
1099
+ PLI_UINT32 arg2 ;
1100
+
1101
+ if ((argc < 1) || (argc > 1))
1102
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1103
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_time, 0);
1104
+ arg2 = NUM2UINT(argv[0]);
1105
+ if (arg1) (arg1)->high = arg2;
1106
+
1107
+ return Qnil;
1108
+ }
1109
+
1110
+
1111
+ static VALUE
1112
+ _wrap_s_vpi_time_high_get(int argc, VALUE *argv, VALUE self) {
1113
+ s_vpi_time *arg1 = (s_vpi_time *) 0 ;
1114
+ PLI_UINT32 result;
1115
+ VALUE vresult = Qnil;
1116
+
1117
+ if ((argc < 0) || (argc > 0))
1118
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1119
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_time, 0);
1120
+ result = (PLI_UINT32) ((arg1)->high);
1121
+
1122
+ vresult = UINT2NUM(result);
1123
+ return vresult;
1124
+ }
1125
+
1126
+
1127
+ static VALUE
1128
+ _wrap_s_vpi_time_low_set(int argc, VALUE *argv, VALUE self) {
1129
+ s_vpi_time *arg1 = (s_vpi_time *) 0 ;
1130
+ PLI_UINT32 arg2 ;
1131
+
1132
+ if ((argc < 1) || (argc > 1))
1133
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1134
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_time, 0);
1135
+ arg2 = NUM2UINT(argv[0]);
1136
+ if (arg1) (arg1)->low = arg2;
1137
+
1138
+ return Qnil;
1139
+ }
1140
+
1141
+
1142
+ static VALUE
1143
+ _wrap_s_vpi_time_low_get(int argc, VALUE *argv, VALUE self) {
1144
+ s_vpi_time *arg1 = (s_vpi_time *) 0 ;
1145
+ PLI_UINT32 result;
1146
+ VALUE vresult = Qnil;
1147
+
1148
+ if ((argc < 0) || (argc > 0))
1149
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1150
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_time, 0);
1151
+ result = (PLI_UINT32) ((arg1)->low);
1152
+
1153
+ vresult = UINT2NUM(result);
1154
+ return vresult;
1155
+ }
1156
+
1157
+
1158
+ static VALUE
1159
+ _wrap_s_vpi_time_real_set(int argc, VALUE *argv, VALUE self) {
1160
+ s_vpi_time *arg1 = (s_vpi_time *) 0 ;
1161
+ double arg2 ;
1162
+
1163
+ if ((argc < 1) || (argc > 1))
1164
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1165
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_time, 0);
1166
+ arg2 = (double) NUM2DBL(argv[0]);
1167
+ if (arg1) (arg1)->real = arg2;
1168
+
1169
+ return Qnil;
1170
+ }
1171
+
1172
+
1173
+ static VALUE
1174
+ _wrap_s_vpi_time_real_get(int argc, VALUE *argv, VALUE self) {
1175
+ s_vpi_time *arg1 = (s_vpi_time *) 0 ;
1176
+ double result;
1177
+ VALUE vresult = Qnil;
1178
+
1179
+ if ((argc < 0) || (argc > 0))
1180
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1181
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_time, 0);
1182
+ result = (double) ((arg1)->real);
1183
+
1184
+ vresult = rb_float_new(result);
1185
+ return vresult;
1186
+ }
1187
+
1188
+
1189
+ #ifdef HAVE_RB_DEFINE_ALLOC_FUNC
1190
+ static VALUE
1191
+ _wrap_s_vpi_time_allocate(VALUE self) {
1192
+ #else
1193
+ static VALUE
1194
+ _wrap_s_vpi_time_allocate(int argc, VALUE *argv, VALUE self) {
1195
+ #endif
1196
+
1197
+
1198
+ VALUE vresult = SWIG_NewClassInstance(self, SWIGTYPE_p_t_vpi_time);
1199
+ #ifndef HAVE_RB_DEFINE_ALLOC_FUNC
1200
+ rb_obj_call_init(vresult, argc, argv);
1201
+ #endif
1202
+ return vresult;
1203
+ }
1204
+
1205
+
1206
+ static VALUE
1207
+ _wrap_new_s_vpi_time(int argc, VALUE *argv, VALUE self) {
1208
+ s_vpi_time *result;
1209
+
1210
+ if ((argc < 0) || (argc > 0))
1211
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1212
+ result = (s_vpi_time *)(s_vpi_time *) calloc(1, sizeof(s_vpi_time));
1213
+ DATA_PTR(self) = result;
1214
+
1215
+ return self;
1216
+ }
1217
+
1218
+
1219
+ static void
1220
+ free_s_vpi_time(s_vpi_time *arg1) {
1221
+ free((char *) arg1);
1222
+ }
1223
+
1224
+ swig_class cS_vpi_delay;
1225
+
1226
+ static VALUE
1227
+ _wrap_s_vpi_delay_da_set(int argc, VALUE *argv, VALUE self) {
1228
+ s_vpi_delay *arg1 = (s_vpi_delay *) 0 ;
1229
+ struct t_vpi_time *arg2 = (struct t_vpi_time *) 0 ;
1230
+
1231
+ if ((argc < 1) || (argc > 1))
1232
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1233
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_delay, 0);
1234
+ SWIG_ConvertPtr(argv[0], (void **) &arg2, SWIGTYPE_p_t_vpi_time, SWIG_POINTER_DISOWN);
1235
+ if (arg1) (arg1)->da = arg2;
1236
+
1237
+ return Qnil;
1238
+ }
1239
+
1240
+
1241
+ static VALUE
1242
+ _wrap_s_vpi_delay_da_get(int argc, VALUE *argv, VALUE self) {
1243
+ s_vpi_delay *arg1 = (s_vpi_delay *) 0 ;
1244
+ struct t_vpi_time *result;
1245
+ VALUE vresult = Qnil;
1246
+
1247
+ if ((argc < 0) || (argc > 0))
1248
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1249
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_delay, 0);
1250
+ result = (struct t_vpi_time *) ((arg1)->da);
1251
+
1252
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_t_vpi_time,0);
1253
+ return vresult;
1254
+ }
1255
+
1256
+
1257
+ static VALUE
1258
+ _wrap_s_vpi_delay_no_of_delays_set(int argc, VALUE *argv, VALUE self) {
1259
+ s_vpi_delay *arg1 = (s_vpi_delay *) 0 ;
1260
+ PLI_INT32 arg2 ;
1261
+
1262
+ if ((argc < 1) || (argc > 1))
1263
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1264
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_delay, 0);
1265
+ arg2 = NUM2INT(argv[0]);
1266
+ if (arg1) (arg1)->no_of_delays = arg2;
1267
+
1268
+ return Qnil;
1269
+ }
1270
+
1271
+
1272
+ static VALUE
1273
+ _wrap_s_vpi_delay_no_of_delays_get(int argc, VALUE *argv, VALUE self) {
1274
+ s_vpi_delay *arg1 = (s_vpi_delay *) 0 ;
1275
+ PLI_INT32 result;
1276
+ VALUE vresult = Qnil;
1277
+
1278
+ if ((argc < 0) || (argc > 0))
1279
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1280
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_delay, 0);
1281
+ result = (PLI_INT32) ((arg1)->no_of_delays);
1282
+
1283
+ vresult = INT2NUM(result);
1284
+ return vresult;
1285
+ }
1286
+
1287
+
1288
+ static VALUE
1289
+ _wrap_s_vpi_delay_time_type_set(int argc, VALUE *argv, VALUE self) {
1290
+ s_vpi_delay *arg1 = (s_vpi_delay *) 0 ;
1291
+ PLI_INT32 arg2 ;
1292
+
1293
+ if ((argc < 1) || (argc > 1))
1294
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1295
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_delay, 0);
1296
+ arg2 = NUM2INT(argv[0]);
1297
+ if (arg1) (arg1)->time_type = arg2;
1298
+
1299
+ return Qnil;
1300
+ }
1301
+
1302
+
1303
+ static VALUE
1304
+ _wrap_s_vpi_delay_time_type_get(int argc, VALUE *argv, VALUE self) {
1305
+ s_vpi_delay *arg1 = (s_vpi_delay *) 0 ;
1306
+ PLI_INT32 result;
1307
+ VALUE vresult = Qnil;
1308
+
1309
+ if ((argc < 0) || (argc > 0))
1310
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1311
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_delay, 0);
1312
+ result = (PLI_INT32) ((arg1)->time_type);
1313
+
1314
+ vresult = INT2NUM(result);
1315
+ return vresult;
1316
+ }
1317
+
1318
+
1319
+ static VALUE
1320
+ _wrap_s_vpi_delay_mtm_flag_set(int argc, VALUE *argv, VALUE self) {
1321
+ s_vpi_delay *arg1 = (s_vpi_delay *) 0 ;
1322
+ PLI_INT32 arg2 ;
1323
+
1324
+ if ((argc < 1) || (argc > 1))
1325
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1326
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_delay, 0);
1327
+ arg2 = NUM2INT(argv[0]);
1328
+ if (arg1) (arg1)->mtm_flag = arg2;
1329
+
1330
+ return Qnil;
1331
+ }
1332
+
1333
+
1334
+ static VALUE
1335
+ _wrap_s_vpi_delay_mtm_flag_get(int argc, VALUE *argv, VALUE self) {
1336
+ s_vpi_delay *arg1 = (s_vpi_delay *) 0 ;
1337
+ PLI_INT32 result;
1338
+ VALUE vresult = Qnil;
1339
+
1340
+ if ((argc < 0) || (argc > 0))
1341
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1342
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_delay, 0);
1343
+ result = (PLI_INT32) ((arg1)->mtm_flag);
1344
+
1345
+ vresult = INT2NUM(result);
1346
+ return vresult;
1347
+ }
1348
+
1349
+
1350
+ static VALUE
1351
+ _wrap_s_vpi_delay_append_flag_set(int argc, VALUE *argv, VALUE self) {
1352
+ s_vpi_delay *arg1 = (s_vpi_delay *) 0 ;
1353
+ PLI_INT32 arg2 ;
1354
+
1355
+ if ((argc < 1) || (argc > 1))
1356
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1357
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_delay, 0);
1358
+ arg2 = NUM2INT(argv[0]);
1359
+ if (arg1) (arg1)->append_flag = arg2;
1360
+
1361
+ return Qnil;
1362
+ }
1363
+
1364
+
1365
+ static VALUE
1366
+ _wrap_s_vpi_delay_append_flag_get(int argc, VALUE *argv, VALUE self) {
1367
+ s_vpi_delay *arg1 = (s_vpi_delay *) 0 ;
1368
+ PLI_INT32 result;
1369
+ VALUE vresult = Qnil;
1370
+
1371
+ if ((argc < 0) || (argc > 0))
1372
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1373
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_delay, 0);
1374
+ result = (PLI_INT32) ((arg1)->append_flag);
1375
+
1376
+ vresult = INT2NUM(result);
1377
+ return vresult;
1378
+ }
1379
+
1380
+
1381
+ static VALUE
1382
+ _wrap_s_vpi_delay_pulsere_flag_set(int argc, VALUE *argv, VALUE self) {
1383
+ s_vpi_delay *arg1 = (s_vpi_delay *) 0 ;
1384
+ PLI_INT32 arg2 ;
1385
+
1386
+ if ((argc < 1) || (argc > 1))
1387
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1388
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_delay, 0);
1389
+ arg2 = NUM2INT(argv[0]);
1390
+ if (arg1) (arg1)->pulsere_flag = arg2;
1391
+
1392
+ return Qnil;
1393
+ }
1394
+
1395
+
1396
+ static VALUE
1397
+ _wrap_s_vpi_delay_pulsere_flag_get(int argc, VALUE *argv, VALUE self) {
1398
+ s_vpi_delay *arg1 = (s_vpi_delay *) 0 ;
1399
+ PLI_INT32 result;
1400
+ VALUE vresult = Qnil;
1401
+
1402
+ if ((argc < 0) || (argc > 0))
1403
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1404
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_delay, 0);
1405
+ result = (PLI_INT32) ((arg1)->pulsere_flag);
1406
+
1407
+ vresult = INT2NUM(result);
1408
+ return vresult;
1409
+ }
1410
+
1411
+
1412
+ #ifdef HAVE_RB_DEFINE_ALLOC_FUNC
1413
+ static VALUE
1414
+ _wrap_s_vpi_delay_allocate(VALUE self) {
1415
+ #else
1416
+ static VALUE
1417
+ _wrap_s_vpi_delay_allocate(int argc, VALUE *argv, VALUE self) {
1418
+ #endif
1419
+
1420
+
1421
+ VALUE vresult = SWIG_NewClassInstance(self, SWIGTYPE_p_t_vpi_delay);
1422
+ #ifndef HAVE_RB_DEFINE_ALLOC_FUNC
1423
+ rb_obj_call_init(vresult, argc, argv);
1424
+ #endif
1425
+ return vresult;
1426
+ }
1427
+
1428
+
1429
+ static VALUE
1430
+ _wrap_new_s_vpi_delay(int argc, VALUE *argv, VALUE self) {
1431
+ s_vpi_delay *result;
1432
+
1433
+ if ((argc < 0) || (argc > 0))
1434
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1435
+ result = (s_vpi_delay *)(s_vpi_delay *) calloc(1, sizeof(s_vpi_delay));
1436
+ DATA_PTR(self) = result;
1437
+
1438
+ return self;
1439
+ }
1440
+
1441
+
1442
+ static void
1443
+ free_s_vpi_delay(s_vpi_delay *arg1) {
1444
+ free((char *) arg1);
1445
+ }
1446
+
1447
+ swig_class cS_vpi_vecval;
1448
+
1449
+ static VALUE
1450
+ _wrap_s_vpi_vecval_aval_set(int argc, VALUE *argv, VALUE self) {
1451
+ s_vpi_vecval *arg1 = (s_vpi_vecval *) 0 ;
1452
+ PLI_INT32 arg2 ;
1453
+
1454
+ if ((argc < 1) || (argc > 1))
1455
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1456
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_vecval, 0);
1457
+ arg2 = NUM2INT(argv[0]);
1458
+ if (arg1) (arg1)->aval = arg2;
1459
+
1460
+ return Qnil;
1461
+ }
1462
+
1463
+
1464
+ static VALUE
1465
+ _wrap_s_vpi_vecval_aval_get(int argc, VALUE *argv, VALUE self) {
1466
+ s_vpi_vecval *arg1 = (s_vpi_vecval *) 0 ;
1467
+ PLI_INT32 result;
1468
+ VALUE vresult = Qnil;
1469
+
1470
+ if ((argc < 0) || (argc > 0))
1471
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1472
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_vecval, 0);
1473
+ result = (PLI_INT32) ((arg1)->aval);
1474
+
1475
+ vresult = INT2NUM(result);
1476
+ return vresult;
1477
+ }
1478
+
1479
+
1480
+ static VALUE
1481
+ _wrap_s_vpi_vecval_bval_set(int argc, VALUE *argv, VALUE self) {
1482
+ s_vpi_vecval *arg1 = (s_vpi_vecval *) 0 ;
1483
+ PLI_INT32 arg2 ;
1484
+
1485
+ if ((argc < 1) || (argc > 1))
1486
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1487
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_vecval, 0);
1488
+ arg2 = NUM2INT(argv[0]);
1489
+ if (arg1) (arg1)->bval = arg2;
1490
+
1491
+ return Qnil;
1492
+ }
1493
+
1494
+
1495
+ static VALUE
1496
+ _wrap_s_vpi_vecval_bval_get(int argc, VALUE *argv, VALUE self) {
1497
+ s_vpi_vecval *arg1 = (s_vpi_vecval *) 0 ;
1498
+ PLI_INT32 result;
1499
+ VALUE vresult = Qnil;
1500
+
1501
+ if ((argc < 0) || (argc > 0))
1502
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1503
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_vecval, 0);
1504
+ result = (PLI_INT32) ((arg1)->bval);
1505
+
1506
+ vresult = INT2NUM(result);
1507
+ return vresult;
1508
+ }
1509
+
1510
+
1511
+ #ifdef HAVE_RB_DEFINE_ALLOC_FUNC
1512
+ static VALUE
1513
+ _wrap_s_vpi_vecval_allocate(VALUE self) {
1514
+ #else
1515
+ static VALUE
1516
+ _wrap_s_vpi_vecval_allocate(int argc, VALUE *argv, VALUE self) {
1517
+ #endif
1518
+
1519
+
1520
+ VALUE vresult = SWIG_NewClassInstance(self, SWIGTYPE_p_t_vpi_vecval);
1521
+ #ifndef HAVE_RB_DEFINE_ALLOC_FUNC
1522
+ rb_obj_call_init(vresult, argc, argv);
1523
+ #endif
1524
+ return vresult;
1525
+ }
1526
+
1527
+
1528
+ static VALUE
1529
+ _wrap_new_s_vpi_vecval(int argc, VALUE *argv, VALUE self) {
1530
+ s_vpi_vecval *result;
1531
+
1532
+ if ((argc < 0) || (argc > 0))
1533
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1534
+ result = (s_vpi_vecval *)(s_vpi_vecval *) calloc(1, sizeof(s_vpi_vecval));
1535
+ DATA_PTR(self) = result;
1536
+
1537
+ return self;
1538
+ }
1539
+
1540
+
1541
+ static void
1542
+ free_s_vpi_vecval(s_vpi_vecval *arg1) {
1543
+ free((char *) arg1);
1544
+ }
1545
+
1546
+ swig_class cS_vpi_strengthval;
1547
+
1548
+ static VALUE
1549
+ _wrap_s_vpi_strengthval_logic_set(int argc, VALUE *argv, VALUE self) {
1550
+ s_vpi_strengthval *arg1 = (s_vpi_strengthval *) 0 ;
1551
+ PLI_INT32 arg2 ;
1552
+
1553
+ if ((argc < 1) || (argc > 1))
1554
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1555
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_strengthval, 0);
1556
+ arg2 = NUM2INT(argv[0]);
1557
+ if (arg1) (arg1)->logic = arg2;
1558
+
1559
+ return Qnil;
1560
+ }
1561
+
1562
+
1563
+ static VALUE
1564
+ _wrap_s_vpi_strengthval_logic_get(int argc, VALUE *argv, VALUE self) {
1565
+ s_vpi_strengthval *arg1 = (s_vpi_strengthval *) 0 ;
1566
+ PLI_INT32 result;
1567
+ VALUE vresult = Qnil;
1568
+
1569
+ if ((argc < 0) || (argc > 0))
1570
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1571
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_strengthval, 0);
1572
+ result = (PLI_INT32) ((arg1)->logic);
1573
+
1574
+ vresult = INT2NUM(result);
1575
+ return vresult;
1576
+ }
1577
+
1578
+
1579
+ static VALUE
1580
+ _wrap_s_vpi_strengthval_s0_set(int argc, VALUE *argv, VALUE self) {
1581
+ s_vpi_strengthval *arg1 = (s_vpi_strengthval *) 0 ;
1582
+ PLI_INT32 arg2 ;
1583
+
1584
+ if ((argc < 1) || (argc > 1))
1585
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1586
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_strengthval, 0);
1587
+ arg2 = NUM2INT(argv[0]);
1588
+ if (arg1) (arg1)->s0 = arg2;
1589
+
1590
+ return Qnil;
1591
+ }
1592
+
1593
+
1594
+ static VALUE
1595
+ _wrap_s_vpi_strengthval_s0_get(int argc, VALUE *argv, VALUE self) {
1596
+ s_vpi_strengthval *arg1 = (s_vpi_strengthval *) 0 ;
1597
+ PLI_INT32 result;
1598
+ VALUE vresult = Qnil;
1599
+
1600
+ if ((argc < 0) || (argc > 0))
1601
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1602
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_strengthval, 0);
1603
+ result = (PLI_INT32) ((arg1)->s0);
1604
+
1605
+ vresult = INT2NUM(result);
1606
+ return vresult;
1607
+ }
1608
+
1609
+
1610
+ static VALUE
1611
+ _wrap_s_vpi_strengthval_s1_set(int argc, VALUE *argv, VALUE self) {
1612
+ s_vpi_strengthval *arg1 = (s_vpi_strengthval *) 0 ;
1613
+ PLI_INT32 arg2 ;
1614
+
1615
+ if ((argc < 1) || (argc > 1))
1616
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1617
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_strengthval, 0);
1618
+ arg2 = NUM2INT(argv[0]);
1619
+ if (arg1) (arg1)->s1 = arg2;
1620
+
1621
+ return Qnil;
1622
+ }
1623
+
1624
+
1625
+ static VALUE
1626
+ _wrap_s_vpi_strengthval_s1_get(int argc, VALUE *argv, VALUE self) {
1627
+ s_vpi_strengthval *arg1 = (s_vpi_strengthval *) 0 ;
1628
+ PLI_INT32 result;
1629
+ VALUE vresult = Qnil;
1630
+
1631
+ if ((argc < 0) || (argc > 0))
1632
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1633
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_strengthval, 0);
1634
+ result = (PLI_INT32) ((arg1)->s1);
1635
+
1636
+ vresult = INT2NUM(result);
1637
+ return vresult;
1638
+ }
1639
+
1640
+
1641
+ #ifdef HAVE_RB_DEFINE_ALLOC_FUNC
1642
+ static VALUE
1643
+ _wrap_s_vpi_strengthval_allocate(VALUE self) {
1644
+ #else
1645
+ static VALUE
1646
+ _wrap_s_vpi_strengthval_allocate(int argc, VALUE *argv, VALUE self) {
1647
+ #endif
1648
+
1649
+
1650
+ VALUE vresult = SWIG_NewClassInstance(self, SWIGTYPE_p_t_vpi_strengthval);
1651
+ #ifndef HAVE_RB_DEFINE_ALLOC_FUNC
1652
+ rb_obj_call_init(vresult, argc, argv);
1653
+ #endif
1654
+ return vresult;
1655
+ }
1656
+
1657
+
1658
+ static VALUE
1659
+ _wrap_new_s_vpi_strengthval(int argc, VALUE *argv, VALUE self) {
1660
+ s_vpi_strengthval *result;
1661
+
1662
+ if ((argc < 0) || (argc > 0))
1663
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1664
+ result = (s_vpi_strengthval *)(s_vpi_strengthval *) calloc(1, sizeof(s_vpi_strengthval));
1665
+ DATA_PTR(self) = result;
1666
+
1667
+ return self;
1668
+ }
1669
+
1670
+
1671
+ static void
1672
+ free_s_vpi_strengthval(s_vpi_strengthval *arg1) {
1673
+ free((char *) arg1);
1674
+ }
1675
+
1676
+ swig_class cS_vpi_value;
1677
+
1678
+ static VALUE
1679
+ _wrap_s_vpi_value_format_set(int argc, VALUE *argv, VALUE self) {
1680
+ s_vpi_value *arg1 = (s_vpi_value *) 0 ;
1681
+ PLI_INT32 arg2 ;
1682
+
1683
+ if ((argc < 1) || (argc > 1))
1684
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1685
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_value, 0);
1686
+ arg2 = NUM2INT(argv[0]);
1687
+ if (arg1) (arg1)->format = arg2;
1688
+
1689
+ return Qnil;
1690
+ }
1691
+
1692
+
1693
+ static VALUE
1694
+ _wrap_s_vpi_value_format_get(int argc, VALUE *argv, VALUE self) {
1695
+ s_vpi_value *arg1 = (s_vpi_value *) 0 ;
1696
+ PLI_INT32 result;
1697
+ VALUE vresult = Qnil;
1698
+
1699
+ if ((argc < 0) || (argc > 0))
1700
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1701
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_value, 0);
1702
+ result = (PLI_INT32) ((arg1)->format);
1703
+
1704
+ vresult = INT2NUM(result);
1705
+ return vresult;
1706
+ }
1707
+
1708
+
1709
+ static VALUE
1710
+ _wrap_s_vpi_value_value_get(int argc, VALUE *argv, VALUE self) {
1711
+ s_vpi_value *arg1 = (s_vpi_value *) 0 ;
1712
+ s_vpi_value_value *result;
1713
+ VALUE vresult = Qnil;
1714
+
1715
+ if ((argc < 0) || (argc > 0))
1716
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1717
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_value, 0);
1718
+ result = (s_vpi_value_value *)& ((arg1)->value);
1719
+
1720
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_s_vpi_value_value,0);
1721
+ return vresult;
1722
+ }
1723
+
1724
+
1725
+ #ifdef HAVE_RB_DEFINE_ALLOC_FUNC
1726
+ static VALUE
1727
+ _wrap_s_vpi_value_allocate(VALUE self) {
1728
+ #else
1729
+ static VALUE
1730
+ _wrap_s_vpi_value_allocate(int argc, VALUE *argv, VALUE self) {
1731
+ #endif
1732
+
1733
+
1734
+ VALUE vresult = SWIG_NewClassInstance(self, SWIGTYPE_p_t_vpi_value);
1735
+ #ifndef HAVE_RB_DEFINE_ALLOC_FUNC
1736
+ rb_obj_call_init(vresult, argc, argv);
1737
+ #endif
1738
+ return vresult;
1739
+ }
1740
+
1741
+
1742
+ static VALUE
1743
+ _wrap_new_s_vpi_value(int argc, VALUE *argv, VALUE self) {
1744
+ s_vpi_value *result;
1745
+
1746
+ if ((argc < 0) || (argc > 0))
1747
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1748
+ result = (s_vpi_value *)(s_vpi_value *) calloc(1, sizeof(s_vpi_value));
1749
+ DATA_PTR(self) = result;
1750
+
1751
+ return self;
1752
+ }
1753
+
1754
+
1755
+ static void
1756
+ free_s_vpi_value(s_vpi_value *arg1) {
1757
+ free((char *) arg1);
1758
+ }
1759
+
1760
+ swig_class cS_vpi_value_value;
1761
+
1762
+ static VALUE
1763
+ _wrap_s_vpi_value_value_str_set(int argc, VALUE *argv, VALUE self) {
1764
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1765
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
1766
+
1767
+ if ((argc < 1) || (argc > 1))
1768
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1769
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1770
+ arg2 = StringValuePtr(argv[0]);
1771
+ {
1772
+ if (arg1->str) free((char*)arg1->str);
1773
+ if (arg2) {
1774
+ arg1->str = (PLI_BYTE8 *) malloc(strlen(arg2)+1);
1775
+ strcpy((char*)arg1->str,arg2);
1776
+ } else {
1777
+ arg1->str = 0;
1778
+ }
1779
+ }
1780
+ return Qnil;
1781
+ }
1782
+
1783
+
1784
+ static VALUE
1785
+ _wrap_s_vpi_value_value_str_get(int argc, VALUE *argv, VALUE self) {
1786
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1787
+ PLI_BYTE8 *result;
1788
+ VALUE vresult = Qnil;
1789
+
1790
+ if ((argc < 0) || (argc > 0))
1791
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1792
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1793
+ result = (PLI_BYTE8 *) ((arg1)->str);
1794
+
1795
+ vresult = rb_str_new2(result);
1796
+ return vresult;
1797
+ }
1798
+
1799
+
1800
+ static VALUE
1801
+ _wrap_s_vpi_value_value_scalar_set(int argc, VALUE *argv, VALUE self) {
1802
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1803
+ PLI_INT32 arg2 ;
1804
+
1805
+ if ((argc < 1) || (argc > 1))
1806
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1807
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1808
+ arg2 = NUM2INT(argv[0]);
1809
+ if (arg1) (arg1)->scalar = arg2;
1810
+
1811
+ return Qnil;
1812
+ }
1813
+
1814
+
1815
+ static VALUE
1816
+ _wrap_s_vpi_value_value_scalar_get(int argc, VALUE *argv, VALUE self) {
1817
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1818
+ PLI_INT32 result;
1819
+ VALUE vresult = Qnil;
1820
+
1821
+ if ((argc < 0) || (argc > 0))
1822
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1823
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1824
+ result = (PLI_INT32) ((arg1)->scalar);
1825
+
1826
+ vresult = INT2NUM(result);
1827
+ return vresult;
1828
+ }
1829
+
1830
+
1831
+ static VALUE
1832
+ _wrap_s_vpi_value_value_integer_set(int argc, VALUE *argv, VALUE self) {
1833
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1834
+ PLI_INT32 arg2 ;
1835
+
1836
+ if ((argc < 1) || (argc > 1))
1837
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1838
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1839
+ arg2 = NUM2INT(argv[0]);
1840
+ if (arg1) (arg1)->integer = arg2;
1841
+
1842
+ return Qnil;
1843
+ }
1844
+
1845
+
1846
+ static VALUE
1847
+ _wrap_s_vpi_value_value_integer_get(int argc, VALUE *argv, VALUE self) {
1848
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1849
+ PLI_INT32 result;
1850
+ VALUE vresult = Qnil;
1851
+
1852
+ if ((argc < 0) || (argc > 0))
1853
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1854
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1855
+ result = (PLI_INT32) ((arg1)->integer);
1856
+
1857
+ vresult = INT2NUM(result);
1858
+ return vresult;
1859
+ }
1860
+
1861
+
1862
+ static VALUE
1863
+ _wrap_s_vpi_value_value_real_set(int argc, VALUE *argv, VALUE self) {
1864
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1865
+ double arg2 ;
1866
+
1867
+ if ((argc < 1) || (argc > 1))
1868
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1869
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1870
+ arg2 = (double) NUM2DBL(argv[0]);
1871
+ if (arg1) (arg1)->real = arg2;
1872
+
1873
+ return Qnil;
1874
+ }
1875
+
1876
+
1877
+ static VALUE
1878
+ _wrap_s_vpi_value_value_real_get(int argc, VALUE *argv, VALUE self) {
1879
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1880
+ double result;
1881
+ VALUE vresult = Qnil;
1882
+
1883
+ if ((argc < 0) || (argc > 0))
1884
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1885
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1886
+ result = (double) ((arg1)->real);
1887
+
1888
+ vresult = rb_float_new(result);
1889
+ return vresult;
1890
+ }
1891
+
1892
+
1893
+ static VALUE
1894
+ _wrap_s_vpi_value_value_time_set(int argc, VALUE *argv, VALUE self) {
1895
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1896
+ struct t_vpi_time *arg2 = (struct t_vpi_time *) 0 ;
1897
+
1898
+ if ((argc < 1) || (argc > 1))
1899
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1900
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1901
+ SWIG_ConvertPtr(argv[0], (void **) &arg2, SWIGTYPE_p_t_vpi_time, SWIG_POINTER_DISOWN);
1902
+ if (arg1) (arg1)->time = arg2;
1903
+
1904
+ return Qnil;
1905
+ }
1906
+
1907
+
1908
+ static VALUE
1909
+ _wrap_s_vpi_value_value_time_get(int argc, VALUE *argv, VALUE self) {
1910
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1911
+ struct t_vpi_time *result;
1912
+ VALUE vresult = Qnil;
1913
+
1914
+ if ((argc < 0) || (argc > 0))
1915
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1916
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1917
+ result = (struct t_vpi_time *) ((arg1)->time);
1918
+
1919
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_t_vpi_time,0);
1920
+ return vresult;
1921
+ }
1922
+
1923
+
1924
+ static VALUE
1925
+ _wrap_s_vpi_value_value_vector_set(int argc, VALUE *argv, VALUE self) {
1926
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1927
+ struct t_vpi_vecval *arg2 = (struct t_vpi_vecval *) 0 ;
1928
+
1929
+ if ((argc < 1) || (argc > 1))
1930
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1931
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1932
+ SWIG_ConvertPtr(argv[0], (void **) &arg2, SWIGTYPE_p_t_vpi_vecval, SWIG_POINTER_DISOWN);
1933
+ if (arg1) (arg1)->vector = arg2;
1934
+
1935
+ return Qnil;
1936
+ }
1937
+
1938
+
1939
+ static VALUE
1940
+ _wrap_s_vpi_value_value_vector_get(int argc, VALUE *argv, VALUE self) {
1941
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1942
+ struct t_vpi_vecval *result;
1943
+ VALUE vresult = Qnil;
1944
+
1945
+ if ((argc < 0) || (argc > 0))
1946
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1947
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1948
+ result = (struct t_vpi_vecval *) ((arg1)->vector);
1949
+
1950
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_t_vpi_vecval,0);
1951
+ return vresult;
1952
+ }
1953
+
1954
+
1955
+ static VALUE
1956
+ _wrap_s_vpi_value_value_strength_set(int argc, VALUE *argv, VALUE self) {
1957
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1958
+ struct t_vpi_strengthval *arg2 = (struct t_vpi_strengthval *) 0 ;
1959
+
1960
+ if ((argc < 1) || (argc > 1))
1961
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1962
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1963
+ SWIG_ConvertPtr(argv[0], (void **) &arg2, SWIGTYPE_p_t_vpi_strengthval, SWIG_POINTER_DISOWN);
1964
+ if (arg1) (arg1)->strength = arg2;
1965
+
1966
+ return Qnil;
1967
+ }
1968
+
1969
+
1970
+ static VALUE
1971
+ _wrap_s_vpi_value_value_strength_get(int argc, VALUE *argv, VALUE self) {
1972
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1973
+ struct t_vpi_strengthval *result;
1974
+ VALUE vresult = Qnil;
1975
+
1976
+ if ((argc < 0) || (argc > 0))
1977
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
1978
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1979
+ result = (struct t_vpi_strengthval *) ((arg1)->strength);
1980
+
1981
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_t_vpi_strengthval,0);
1982
+ return vresult;
1983
+ }
1984
+
1985
+
1986
+ static VALUE
1987
+ _wrap_s_vpi_value_value_misc_set(int argc, VALUE *argv, VALUE self) {
1988
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
1989
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
1990
+
1991
+ if ((argc < 1) || (argc > 1))
1992
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
1993
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
1994
+ arg2 = StringValuePtr(argv[0]);
1995
+ {
1996
+ if (arg1->misc) free((char*)arg1->misc);
1997
+ if (arg2) {
1998
+ arg1->misc = (PLI_BYTE8 *) malloc(strlen(arg2)+1);
1999
+ strcpy((char*)arg1->misc,arg2);
2000
+ } else {
2001
+ arg1->misc = 0;
2002
+ }
2003
+ }
2004
+ return Qnil;
2005
+ }
2006
+
2007
+
2008
+ static VALUE
2009
+ _wrap_s_vpi_value_value_misc_get(int argc, VALUE *argv, VALUE self) {
2010
+ s_vpi_value_value *arg1 = (s_vpi_value_value *) 0 ;
2011
+ PLI_BYTE8 *result;
2012
+ VALUE vresult = Qnil;
2013
+
2014
+ if ((argc < 0) || (argc > 0))
2015
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2016
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_s_vpi_value_value, 0);
2017
+ result = (PLI_BYTE8 *) ((arg1)->misc);
2018
+
2019
+ vresult = rb_str_new2(result);
2020
+ return vresult;
2021
+ }
2022
+
2023
+
2024
+ #ifdef HAVE_RB_DEFINE_ALLOC_FUNC
2025
+ static VALUE
2026
+ _wrap_s_vpi_value_value_allocate(VALUE self) {
2027
+ #else
2028
+ static VALUE
2029
+ _wrap_s_vpi_value_value_allocate(int argc, VALUE *argv, VALUE self) {
2030
+ #endif
2031
+
2032
+
2033
+ VALUE vresult = SWIG_NewClassInstance(self, SWIGTYPE_p_s_vpi_value_value);
2034
+ #ifndef HAVE_RB_DEFINE_ALLOC_FUNC
2035
+ rb_obj_call_init(vresult, argc, argv);
2036
+ #endif
2037
+ return vresult;
2038
+ }
2039
+
2040
+
2041
+ static VALUE
2042
+ _wrap_new_s_vpi_value_value(int argc, VALUE *argv, VALUE self) {
2043
+ s_vpi_value_value *result;
2044
+
2045
+ if ((argc < 0) || (argc > 0))
2046
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2047
+ result = (s_vpi_value_value *)(s_vpi_value_value *) calloc(1, sizeof(s_vpi_value_value));
2048
+ DATA_PTR(self) = result;
2049
+
2050
+ return self;
2051
+ }
2052
+
2053
+
2054
+ static void
2055
+ free_s_vpi_value_value(s_vpi_value_value *arg1) {
2056
+ free((char *) arg1);
2057
+ }
2058
+
2059
+ swig_class cS_vpi_systf_data;
2060
+
2061
+ static VALUE
2062
+ _wrap_s_vpi_systf_data_type_set(int argc, VALUE *argv, VALUE self) {
2063
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2064
+ PLI_INT32 arg2 ;
2065
+
2066
+ if ((argc < 1) || (argc > 1))
2067
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2068
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2069
+ arg2 = NUM2INT(argv[0]);
2070
+ if (arg1) (arg1)->type = arg2;
2071
+
2072
+ return Qnil;
2073
+ }
2074
+
2075
+
2076
+ static VALUE
2077
+ _wrap_s_vpi_systf_data_type_get(int argc, VALUE *argv, VALUE self) {
2078
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2079
+ PLI_INT32 result;
2080
+ VALUE vresult = Qnil;
2081
+
2082
+ if ((argc < 0) || (argc > 0))
2083
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2084
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2085
+ result = (PLI_INT32) ((arg1)->type);
2086
+
2087
+ vresult = INT2NUM(result);
2088
+ return vresult;
2089
+ }
2090
+
2091
+
2092
+ static VALUE
2093
+ _wrap_s_vpi_systf_data_sysfunctype_set(int argc, VALUE *argv, VALUE self) {
2094
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2095
+ PLI_INT32 arg2 ;
2096
+
2097
+ if ((argc < 1) || (argc > 1))
2098
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2099
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2100
+ arg2 = NUM2INT(argv[0]);
2101
+ if (arg1) (arg1)->sysfunctype = arg2;
2102
+
2103
+ return Qnil;
2104
+ }
2105
+
2106
+
2107
+ static VALUE
2108
+ _wrap_s_vpi_systf_data_sysfunctype_get(int argc, VALUE *argv, VALUE self) {
2109
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2110
+ PLI_INT32 result;
2111
+ VALUE vresult = Qnil;
2112
+
2113
+ if ((argc < 0) || (argc > 0))
2114
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2115
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2116
+ result = (PLI_INT32) ((arg1)->sysfunctype);
2117
+
2118
+ vresult = INT2NUM(result);
2119
+ return vresult;
2120
+ }
2121
+
2122
+
2123
+ static VALUE
2124
+ _wrap_s_vpi_systf_data_tfname_set(int argc, VALUE *argv, VALUE self) {
2125
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2126
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
2127
+
2128
+ if ((argc < 1) || (argc > 1))
2129
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2130
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2131
+ arg2 = StringValuePtr(argv[0]);
2132
+ {
2133
+ if (arg1->tfname) free((char*)arg1->tfname);
2134
+ if (arg2) {
2135
+ arg1->tfname = (PLI_BYTE8 *) malloc(strlen(arg2)+1);
2136
+ strcpy((char*)arg1->tfname,arg2);
2137
+ } else {
2138
+ arg1->tfname = 0;
2139
+ }
2140
+ }
2141
+ return Qnil;
2142
+ }
2143
+
2144
+
2145
+ static VALUE
2146
+ _wrap_s_vpi_systf_data_tfname_get(int argc, VALUE *argv, VALUE self) {
2147
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2148
+ PLI_BYTE8 *result;
2149
+ VALUE vresult = Qnil;
2150
+
2151
+ if ((argc < 0) || (argc > 0))
2152
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2153
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2154
+ result = (PLI_BYTE8 *) ((arg1)->tfname);
2155
+
2156
+ vresult = rb_str_new2(result);
2157
+ return vresult;
2158
+ }
2159
+
2160
+
2161
+ static VALUE
2162
+ _wrap_s_vpi_systf_data_calltf_set(int argc, VALUE *argv, VALUE self) {
2163
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2164
+ PLI_INT32 (*arg2)(PLI_BYTE8 *) = (PLI_INT32 (*)(PLI_BYTE8 *)) 0 ;
2165
+
2166
+ if ((argc < 1) || (argc > 1))
2167
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2168
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2169
+ SWIG_ConvertPtr(argv[0], (void **) &arg2, SWIGTYPE_p_f_p_char__int, 0);
2170
+ if (arg1) (arg1)->calltf = arg2;
2171
+
2172
+ return Qnil;
2173
+ }
2174
+
2175
+
2176
+ static VALUE
2177
+ _wrap_s_vpi_systf_data_calltf_get(int argc, VALUE *argv, VALUE self) {
2178
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2179
+ PLI_INT32 (*result)(PLI_BYTE8 *);
2180
+ VALUE vresult = Qnil;
2181
+
2182
+ if ((argc < 0) || (argc > 0))
2183
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2184
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2185
+ result = (PLI_INT32 (*)(PLI_BYTE8 *)) ((arg1)->calltf);
2186
+
2187
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_f_p_char__int,0);
2188
+ return vresult;
2189
+ }
2190
+
2191
+
2192
+ static VALUE
2193
+ _wrap_s_vpi_systf_data_compiletf_set(int argc, VALUE *argv, VALUE self) {
2194
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2195
+ PLI_INT32 (*arg2)(PLI_BYTE8 *) = (PLI_INT32 (*)(PLI_BYTE8 *)) 0 ;
2196
+
2197
+ if ((argc < 1) || (argc > 1))
2198
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2199
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2200
+ SWIG_ConvertPtr(argv[0], (void **) &arg2, SWIGTYPE_p_f_p_char__int, 0);
2201
+ if (arg1) (arg1)->compiletf = arg2;
2202
+
2203
+ return Qnil;
2204
+ }
2205
+
2206
+
2207
+ static VALUE
2208
+ _wrap_s_vpi_systf_data_compiletf_get(int argc, VALUE *argv, VALUE self) {
2209
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2210
+ PLI_INT32 (*result)(PLI_BYTE8 *);
2211
+ VALUE vresult = Qnil;
2212
+
2213
+ if ((argc < 0) || (argc > 0))
2214
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2215
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2216
+ result = (PLI_INT32 (*)(PLI_BYTE8 *)) ((arg1)->compiletf);
2217
+
2218
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_f_p_char__int,0);
2219
+ return vresult;
2220
+ }
2221
+
2222
+
2223
+ static VALUE
2224
+ _wrap_s_vpi_systf_data_sizetf_set(int argc, VALUE *argv, VALUE self) {
2225
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2226
+ PLI_INT32 (*arg2)(PLI_BYTE8 *) = (PLI_INT32 (*)(PLI_BYTE8 *)) 0 ;
2227
+
2228
+ if ((argc < 1) || (argc > 1))
2229
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2230
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2231
+ SWIG_ConvertPtr(argv[0], (void **) &arg2, SWIGTYPE_p_f_p_char__int, 0);
2232
+ if (arg1) (arg1)->sizetf = arg2;
2233
+
2234
+ return Qnil;
2235
+ }
2236
+
2237
+
2238
+ static VALUE
2239
+ _wrap_s_vpi_systf_data_sizetf_get(int argc, VALUE *argv, VALUE self) {
2240
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2241
+ PLI_INT32 (*result)(PLI_BYTE8 *);
2242
+ VALUE vresult = Qnil;
2243
+
2244
+ if ((argc < 0) || (argc > 0))
2245
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2246
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2247
+ result = (PLI_INT32 (*)(PLI_BYTE8 *)) ((arg1)->sizetf);
2248
+
2249
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_f_p_char__int,0);
2250
+ return vresult;
2251
+ }
2252
+
2253
+
2254
+ static VALUE
2255
+ _wrap_s_vpi_systf_data_user_data_set(int argc, VALUE *argv, VALUE self) {
2256
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2257
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
2258
+
2259
+ if ((argc < 1) || (argc > 1))
2260
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2261
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2262
+ arg2 = StringValuePtr(argv[0]);
2263
+ {
2264
+ if (arg1->user_data) free((char*)arg1->user_data);
2265
+ if (arg2) {
2266
+ arg1->user_data = (PLI_BYTE8 *) malloc(strlen(arg2)+1);
2267
+ strcpy((char*)arg1->user_data,arg2);
2268
+ } else {
2269
+ arg1->user_data = 0;
2270
+ }
2271
+ }
2272
+ return Qnil;
2273
+ }
2274
+
2275
+
2276
+ static VALUE
2277
+ _wrap_s_vpi_systf_data_user_data_get(int argc, VALUE *argv, VALUE self) {
2278
+ s_vpi_systf_data *arg1 = (s_vpi_systf_data *) 0 ;
2279
+ PLI_BYTE8 *result;
2280
+ VALUE vresult = Qnil;
2281
+
2282
+ if ((argc < 0) || (argc > 0))
2283
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2284
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
2285
+ result = (PLI_BYTE8 *) ((arg1)->user_data);
2286
+
2287
+ vresult = rb_str_new2(result);
2288
+ return vresult;
2289
+ }
2290
+
2291
+
2292
+ #ifdef HAVE_RB_DEFINE_ALLOC_FUNC
2293
+ static VALUE
2294
+ _wrap_s_vpi_systf_data_allocate(VALUE self) {
2295
+ #else
2296
+ static VALUE
2297
+ _wrap_s_vpi_systf_data_allocate(int argc, VALUE *argv, VALUE self) {
2298
+ #endif
2299
+
2300
+
2301
+ VALUE vresult = SWIG_NewClassInstance(self, SWIGTYPE_p_t_vpi_systf_data);
2302
+ #ifndef HAVE_RB_DEFINE_ALLOC_FUNC
2303
+ rb_obj_call_init(vresult, argc, argv);
2304
+ #endif
2305
+ return vresult;
2306
+ }
2307
+
2308
+
2309
+ static VALUE
2310
+ _wrap_new_s_vpi_systf_data(int argc, VALUE *argv, VALUE self) {
2311
+ s_vpi_systf_data *result;
2312
+
2313
+ if ((argc < 0) || (argc > 0))
2314
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2315
+ result = (s_vpi_systf_data *)(s_vpi_systf_data *) calloc(1, sizeof(s_vpi_systf_data));
2316
+ DATA_PTR(self) = result;
2317
+
2318
+ return self;
2319
+ }
2320
+
2321
+
2322
+ static void
2323
+ free_s_vpi_systf_data(s_vpi_systf_data *arg1) {
2324
+ free((char *) arg1);
2325
+ }
2326
+
2327
+ swig_class cS_vpi_vlog_info;
2328
+
2329
+ static VALUE
2330
+ _wrap_s_vpi_vlog_info_argc_set(int argc, VALUE *argv, VALUE self) {
2331
+ s_vpi_vlog_info *arg1 = (s_vpi_vlog_info *) 0 ;
2332
+ PLI_INT32 arg2 ;
2333
+
2334
+ if ((argc < 1) || (argc > 1))
2335
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2336
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_vlog_info, 0);
2337
+ arg2 = NUM2INT(argv[0]);
2338
+ if (arg1) (arg1)->argc = arg2;
2339
+
2340
+ return Qnil;
2341
+ }
2342
+
2343
+
2344
+ static VALUE
2345
+ _wrap_s_vpi_vlog_info_argc_get(int argc, VALUE *argv, VALUE self) {
2346
+ s_vpi_vlog_info *arg1 = (s_vpi_vlog_info *) 0 ;
2347
+ PLI_INT32 result;
2348
+ VALUE vresult = Qnil;
2349
+
2350
+ if ((argc < 0) || (argc > 0))
2351
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2352
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_vlog_info, 0);
2353
+ result = (PLI_INT32) ((arg1)->argc);
2354
+
2355
+ vresult = INT2NUM(result);
2356
+ return vresult;
2357
+ }
2358
+
2359
+
2360
+ static VALUE
2361
+ _wrap_s_vpi_vlog_info_argv_set(int argc, VALUE *argv, VALUE self) {
2362
+ s_vpi_vlog_info *arg1 = (s_vpi_vlog_info *) 0 ;
2363
+ PLI_BYTE8 **arg2 = (PLI_BYTE8 **) 0 ;
2364
+
2365
+ if ((argc < 1) || (argc > 1))
2366
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2367
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_vlog_info, 0);
2368
+ SWIG_ConvertPtr(argv[0], (void **) &arg2, SWIGTYPE_p_p_char, 0);
2369
+ if (arg1) (arg1)->argv = arg2;
2370
+
2371
+ return Qnil;
2372
+ }
2373
+
2374
+
2375
+ static VALUE
2376
+ _wrap_s_vpi_vlog_info_argv_get(int argc, VALUE *argv, VALUE self) {
2377
+ s_vpi_vlog_info *arg1 = (s_vpi_vlog_info *) 0 ;
2378
+ PLI_BYTE8 **result;
2379
+ VALUE vresult = Qnil;
2380
+
2381
+ if ((argc < 0) || (argc > 0))
2382
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2383
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_vlog_info, 0);
2384
+ result = (PLI_BYTE8 **) ((arg1)->argv);
2385
+
2386
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_p_char,0);
2387
+ return vresult;
2388
+ }
2389
+
2390
+
2391
+ static VALUE
2392
+ _wrap_s_vpi_vlog_info_product_set(int argc, VALUE *argv, VALUE self) {
2393
+ s_vpi_vlog_info *arg1 = (s_vpi_vlog_info *) 0 ;
2394
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
2395
+
2396
+ if ((argc < 1) || (argc > 1))
2397
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2398
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_vlog_info, 0);
2399
+ arg2 = StringValuePtr(argv[0]);
2400
+ {
2401
+ if (arg1->product) free((char*)arg1->product);
2402
+ if (arg2) {
2403
+ arg1->product = (PLI_BYTE8 *) malloc(strlen(arg2)+1);
2404
+ strcpy((char*)arg1->product,arg2);
2405
+ } else {
2406
+ arg1->product = 0;
2407
+ }
2408
+ }
2409
+ return Qnil;
2410
+ }
2411
+
2412
+
2413
+ static VALUE
2414
+ _wrap_s_vpi_vlog_info_product_get(int argc, VALUE *argv, VALUE self) {
2415
+ s_vpi_vlog_info *arg1 = (s_vpi_vlog_info *) 0 ;
2416
+ PLI_BYTE8 *result;
2417
+ VALUE vresult = Qnil;
2418
+
2419
+ if ((argc < 0) || (argc > 0))
2420
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2421
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_vlog_info, 0);
2422
+ result = (PLI_BYTE8 *) ((arg1)->product);
2423
+
2424
+ vresult = rb_str_new2(result);
2425
+ return vresult;
2426
+ }
2427
+
2428
+
2429
+ static VALUE
2430
+ _wrap_s_vpi_vlog_info_version_set(int argc, VALUE *argv, VALUE self) {
2431
+ s_vpi_vlog_info *arg1 = (s_vpi_vlog_info *) 0 ;
2432
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
2433
+
2434
+ if ((argc < 1) || (argc > 1))
2435
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2436
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_vlog_info, 0);
2437
+ arg2 = StringValuePtr(argv[0]);
2438
+ {
2439
+ if (arg1->version) free((char*)arg1->version);
2440
+ if (arg2) {
2441
+ arg1->version = (PLI_BYTE8 *) malloc(strlen(arg2)+1);
2442
+ strcpy((char*)arg1->version,arg2);
2443
+ } else {
2444
+ arg1->version = 0;
2445
+ }
2446
+ }
2447
+ return Qnil;
2448
+ }
2449
+
2450
+
2451
+ static VALUE
2452
+ _wrap_s_vpi_vlog_info_version_get(int argc, VALUE *argv, VALUE self) {
2453
+ s_vpi_vlog_info *arg1 = (s_vpi_vlog_info *) 0 ;
2454
+ PLI_BYTE8 *result;
2455
+ VALUE vresult = Qnil;
2456
+
2457
+ if ((argc < 0) || (argc > 0))
2458
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2459
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_vlog_info, 0);
2460
+ result = (PLI_BYTE8 *) ((arg1)->version);
2461
+
2462
+ vresult = rb_str_new2(result);
2463
+ return vresult;
2464
+ }
2465
+
2466
+
2467
+ #ifdef HAVE_RB_DEFINE_ALLOC_FUNC
2468
+ static VALUE
2469
+ _wrap_s_vpi_vlog_info_allocate(VALUE self) {
2470
+ #else
2471
+ static VALUE
2472
+ _wrap_s_vpi_vlog_info_allocate(int argc, VALUE *argv, VALUE self) {
2473
+ #endif
2474
+
2475
+
2476
+ VALUE vresult = SWIG_NewClassInstance(self, SWIGTYPE_p_t_vpi_vlog_info);
2477
+ #ifndef HAVE_RB_DEFINE_ALLOC_FUNC
2478
+ rb_obj_call_init(vresult, argc, argv);
2479
+ #endif
2480
+ return vresult;
2481
+ }
2482
+
2483
+
2484
+ static VALUE
2485
+ _wrap_new_s_vpi_vlog_info(int argc, VALUE *argv, VALUE self) {
2486
+ s_vpi_vlog_info *result;
2487
+
2488
+ if ((argc < 0) || (argc > 0))
2489
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2490
+ result = (s_vpi_vlog_info *)(s_vpi_vlog_info *) calloc(1, sizeof(s_vpi_vlog_info));
2491
+ DATA_PTR(self) = result;
2492
+
2493
+ return self;
2494
+ }
2495
+
2496
+
2497
+ static void
2498
+ free_s_vpi_vlog_info(s_vpi_vlog_info *arg1) {
2499
+ free((char *) arg1);
2500
+ }
2501
+
2502
+ swig_class cS_vpi_error_info;
2503
+
2504
+ static VALUE
2505
+ _wrap_s_vpi_error_info_state_set(int argc, VALUE *argv, VALUE self) {
2506
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2507
+ PLI_INT32 arg2 ;
2508
+
2509
+ if ((argc < 1) || (argc > 1))
2510
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2511
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2512
+ arg2 = NUM2INT(argv[0]);
2513
+ if (arg1) (arg1)->state = arg2;
2514
+
2515
+ return Qnil;
2516
+ }
2517
+
2518
+
2519
+ static VALUE
2520
+ _wrap_s_vpi_error_info_state_get(int argc, VALUE *argv, VALUE self) {
2521
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2522
+ PLI_INT32 result;
2523
+ VALUE vresult = Qnil;
2524
+
2525
+ if ((argc < 0) || (argc > 0))
2526
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2527
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2528
+ result = (PLI_INT32) ((arg1)->state);
2529
+
2530
+ vresult = INT2NUM(result);
2531
+ return vresult;
2532
+ }
2533
+
2534
+
2535
+ static VALUE
2536
+ _wrap_s_vpi_error_info_level_set(int argc, VALUE *argv, VALUE self) {
2537
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2538
+ PLI_INT32 arg2 ;
2539
+
2540
+ if ((argc < 1) || (argc > 1))
2541
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2542
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2543
+ arg2 = NUM2INT(argv[0]);
2544
+ if (arg1) (arg1)->level = arg2;
2545
+
2546
+ return Qnil;
2547
+ }
2548
+
2549
+
2550
+ static VALUE
2551
+ _wrap_s_vpi_error_info_level_get(int argc, VALUE *argv, VALUE self) {
2552
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2553
+ PLI_INT32 result;
2554
+ VALUE vresult = Qnil;
2555
+
2556
+ if ((argc < 0) || (argc > 0))
2557
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2558
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2559
+ result = (PLI_INT32) ((arg1)->level);
2560
+
2561
+ vresult = INT2NUM(result);
2562
+ return vresult;
2563
+ }
2564
+
2565
+
2566
+ static VALUE
2567
+ _wrap_s_vpi_error_info_message_set(int argc, VALUE *argv, VALUE self) {
2568
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2569
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
2570
+
2571
+ if ((argc < 1) || (argc > 1))
2572
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2573
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2574
+ arg2 = StringValuePtr(argv[0]);
2575
+ {
2576
+ if (arg1->message) free((char*)arg1->message);
2577
+ if (arg2) {
2578
+ arg1->message = (PLI_BYTE8 *) malloc(strlen(arg2)+1);
2579
+ strcpy((char*)arg1->message,arg2);
2580
+ } else {
2581
+ arg1->message = 0;
2582
+ }
2583
+ }
2584
+ return Qnil;
2585
+ }
2586
+
2587
+
2588
+ static VALUE
2589
+ _wrap_s_vpi_error_info_message_get(int argc, VALUE *argv, VALUE self) {
2590
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2591
+ PLI_BYTE8 *result;
2592
+ VALUE vresult = Qnil;
2593
+
2594
+ if ((argc < 0) || (argc > 0))
2595
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2596
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2597
+ result = (PLI_BYTE8 *) ((arg1)->message);
2598
+
2599
+ vresult = rb_str_new2(result);
2600
+ return vresult;
2601
+ }
2602
+
2603
+
2604
+ static VALUE
2605
+ _wrap_s_vpi_error_info_product_set(int argc, VALUE *argv, VALUE self) {
2606
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2607
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
2608
+
2609
+ if ((argc < 1) || (argc > 1))
2610
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2611
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2612
+ arg2 = StringValuePtr(argv[0]);
2613
+ {
2614
+ if (arg1->product) free((char*)arg1->product);
2615
+ if (arg2) {
2616
+ arg1->product = (PLI_BYTE8 *) malloc(strlen(arg2)+1);
2617
+ strcpy((char*)arg1->product,arg2);
2618
+ } else {
2619
+ arg1->product = 0;
2620
+ }
2621
+ }
2622
+ return Qnil;
2623
+ }
2624
+
2625
+
2626
+ static VALUE
2627
+ _wrap_s_vpi_error_info_product_get(int argc, VALUE *argv, VALUE self) {
2628
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2629
+ PLI_BYTE8 *result;
2630
+ VALUE vresult = Qnil;
2631
+
2632
+ if ((argc < 0) || (argc > 0))
2633
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2634
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2635
+ result = (PLI_BYTE8 *) ((arg1)->product);
2636
+
2637
+ vresult = rb_str_new2(result);
2638
+ return vresult;
2639
+ }
2640
+
2641
+
2642
+ static VALUE
2643
+ _wrap_s_vpi_error_info_code_set(int argc, VALUE *argv, VALUE self) {
2644
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2645
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
2646
+
2647
+ if ((argc < 1) || (argc > 1))
2648
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2649
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2650
+ arg2 = StringValuePtr(argv[0]);
2651
+ {
2652
+ if (arg1->code) free((char*)arg1->code);
2653
+ if (arg2) {
2654
+ arg1->code = (PLI_BYTE8 *) malloc(strlen(arg2)+1);
2655
+ strcpy((char*)arg1->code,arg2);
2656
+ } else {
2657
+ arg1->code = 0;
2658
+ }
2659
+ }
2660
+ return Qnil;
2661
+ }
2662
+
2663
+
2664
+ static VALUE
2665
+ _wrap_s_vpi_error_info_code_get(int argc, VALUE *argv, VALUE self) {
2666
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2667
+ PLI_BYTE8 *result;
2668
+ VALUE vresult = Qnil;
2669
+
2670
+ if ((argc < 0) || (argc > 0))
2671
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2672
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2673
+ result = (PLI_BYTE8 *) ((arg1)->code);
2674
+
2675
+ vresult = rb_str_new2(result);
2676
+ return vresult;
2677
+ }
2678
+
2679
+
2680
+ static VALUE
2681
+ _wrap_s_vpi_error_info_file_set(int argc, VALUE *argv, VALUE self) {
2682
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2683
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
2684
+
2685
+ if ((argc < 1) || (argc > 1))
2686
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2687
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2688
+ arg2 = StringValuePtr(argv[0]);
2689
+ {
2690
+ if (arg1->file) free((char*)arg1->file);
2691
+ if (arg2) {
2692
+ arg1->file = (PLI_BYTE8 *) malloc(strlen(arg2)+1);
2693
+ strcpy((char*)arg1->file,arg2);
2694
+ } else {
2695
+ arg1->file = 0;
2696
+ }
2697
+ }
2698
+ return Qnil;
2699
+ }
2700
+
2701
+
2702
+ static VALUE
2703
+ _wrap_s_vpi_error_info_file_get(int argc, VALUE *argv, VALUE self) {
2704
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2705
+ PLI_BYTE8 *result;
2706
+ VALUE vresult = Qnil;
2707
+
2708
+ if ((argc < 0) || (argc > 0))
2709
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2710
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2711
+ result = (PLI_BYTE8 *) ((arg1)->file);
2712
+
2713
+ vresult = rb_str_new2(result);
2714
+ return vresult;
2715
+ }
2716
+
2717
+
2718
+ static VALUE
2719
+ _wrap_s_vpi_error_info_line_set(int argc, VALUE *argv, VALUE self) {
2720
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2721
+ PLI_INT32 arg2 ;
2722
+
2723
+ if ((argc < 1) || (argc > 1))
2724
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2725
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2726
+ arg2 = NUM2INT(argv[0]);
2727
+ if (arg1) (arg1)->line = arg2;
2728
+
2729
+ return Qnil;
2730
+ }
2731
+
2732
+
2733
+ static VALUE
2734
+ _wrap_s_vpi_error_info_line_get(int argc, VALUE *argv, VALUE self) {
2735
+ s_vpi_error_info *arg1 = (s_vpi_error_info *) 0 ;
2736
+ PLI_INT32 result;
2737
+ VALUE vresult = Qnil;
2738
+
2739
+ if ((argc < 0) || (argc > 0))
2740
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2741
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
2742
+ result = (PLI_INT32) ((arg1)->line);
2743
+
2744
+ vresult = INT2NUM(result);
2745
+ return vresult;
2746
+ }
2747
+
2748
+
2749
+ #ifdef HAVE_RB_DEFINE_ALLOC_FUNC
2750
+ static VALUE
2751
+ _wrap_s_vpi_error_info_allocate(VALUE self) {
2752
+ #else
2753
+ static VALUE
2754
+ _wrap_s_vpi_error_info_allocate(int argc, VALUE *argv, VALUE self) {
2755
+ #endif
2756
+
2757
+
2758
+ VALUE vresult = SWIG_NewClassInstance(self, SWIGTYPE_p_t_vpi_error_info);
2759
+ #ifndef HAVE_RB_DEFINE_ALLOC_FUNC
2760
+ rb_obj_call_init(vresult, argc, argv);
2761
+ #endif
2762
+ return vresult;
2763
+ }
2764
+
2765
+
2766
+ static VALUE
2767
+ _wrap_new_s_vpi_error_info(int argc, VALUE *argv, VALUE self) {
2768
+ s_vpi_error_info *result;
2769
+
2770
+ if ((argc < 0) || (argc > 0))
2771
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2772
+ result = (s_vpi_error_info *)(s_vpi_error_info *) calloc(1, sizeof(s_vpi_error_info));
2773
+ DATA_PTR(self) = result;
2774
+
2775
+ return self;
2776
+ }
2777
+
2778
+
2779
+ static void
2780
+ free_s_vpi_error_info(s_vpi_error_info *arg1) {
2781
+ free((char *) arg1);
2782
+ }
2783
+
2784
+ swig_class cS_cb_data;
2785
+
2786
+ static VALUE
2787
+ _wrap_s_cb_data_reason_set(int argc, VALUE *argv, VALUE self) {
2788
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2789
+ PLI_INT32 arg2 ;
2790
+
2791
+ if ((argc < 1) || (argc > 1))
2792
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2793
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
2794
+ arg2 = NUM2INT(argv[0]);
2795
+ if (arg1) (arg1)->reason = arg2;
2796
+
2797
+ return Qnil;
2798
+ }
2799
+
2800
+
2801
+ static VALUE
2802
+ _wrap_s_cb_data_reason_get(int argc, VALUE *argv, VALUE self) {
2803
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2804
+ PLI_INT32 result;
2805
+ VALUE vresult = Qnil;
2806
+
2807
+ if ((argc < 0) || (argc > 0))
2808
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2809
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
2810
+ result = (PLI_INT32) ((arg1)->reason);
2811
+
2812
+ vresult = INT2NUM(result);
2813
+ return vresult;
2814
+ }
2815
+
2816
+
2817
+ static VALUE
2818
+ _wrap_s_cb_data_cb_rtn_set(int argc, VALUE *argv, VALUE self) {
2819
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2820
+ PLI_INT32 (*arg2)(struct t_cb_data *) = (PLI_INT32 (*)(struct t_cb_data *)) 0 ;
2821
+
2822
+ if ((argc < 1) || (argc > 1))
2823
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2824
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
2825
+ SWIG_ConvertPtr(argv[0], (void **) &arg2, SWIGTYPE_p_f_p_struct_t_cb_data__int, 0);
2826
+ if (arg1) (arg1)->cb_rtn = arg2;
2827
+
2828
+ return Qnil;
2829
+ }
2830
+
2831
+
2832
+ static VALUE
2833
+ _wrap_s_cb_data_cb_rtn_get(int argc, VALUE *argv, VALUE self) {
2834
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2835
+ PLI_INT32 (*result)(struct t_cb_data *);
2836
+ VALUE vresult = Qnil;
2837
+
2838
+ if ((argc < 0) || (argc > 0))
2839
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2840
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
2841
+ result = (PLI_INT32 (*)(struct t_cb_data *)) ((arg1)->cb_rtn);
2842
+
2843
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_f_p_struct_t_cb_data__int,0);
2844
+ return vresult;
2845
+ }
2846
+
2847
+
2848
+ static VALUE
2849
+ _wrap_s_cb_data_obj_set(int argc, VALUE *argv, VALUE self) {
2850
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2851
+ vpiHandle arg2 = (vpiHandle) 0 ;
2852
+
2853
+ if ((argc < 1) || (argc > 1))
2854
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2855
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
2856
+ SWIG_ConvertPtr(argv[0], (void **) &arg2, SWIGTYPE_p_unsigned_int, SWIG_POINTER_DISOWN);
2857
+ if (arg1) (arg1)->obj = arg2;
2858
+
2859
+ return Qnil;
2860
+ }
2861
+
2862
+
2863
+ static VALUE
2864
+ _wrap_s_cb_data_obj_get(int argc, VALUE *argv, VALUE self) {
2865
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2866
+ vpiHandle result;
2867
+ VALUE vresult = Qnil;
2868
+
2869
+ if ((argc < 0) || (argc > 0))
2870
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2871
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
2872
+ result = (vpiHandle) ((arg1)->obj);
2873
+
2874
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_unsigned_int,0);
2875
+ return vresult;
2876
+ }
2877
+
2878
+
2879
+ static VALUE
2880
+ _wrap_s_cb_data_time_set(int argc, VALUE *argv, VALUE self) {
2881
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2882
+ p_vpi_time arg2 = (p_vpi_time) 0 ;
2883
+
2884
+ if ((argc < 1) || (argc > 1))
2885
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2886
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
2887
+ SWIG_ConvertPtr(argv[0], (void **) &arg2, SWIGTYPE_p_t_vpi_time, SWIG_POINTER_DISOWN);
2888
+ if (arg1) (arg1)->time = arg2;
2889
+
2890
+ return Qnil;
2891
+ }
2892
+
2893
+
2894
+ static VALUE
2895
+ _wrap_s_cb_data_time_get(int argc, VALUE *argv, VALUE self) {
2896
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2897
+ p_vpi_time result;
2898
+ VALUE vresult = Qnil;
2899
+
2900
+ if ((argc < 0) || (argc > 0))
2901
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2902
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
2903
+ result = (p_vpi_time) ((arg1)->time);
2904
+
2905
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_t_vpi_time,0);
2906
+ return vresult;
2907
+ }
2908
+
2909
+
2910
+ static VALUE
2911
+ _wrap_s_cb_data_value_set(int argc, VALUE *argv, VALUE self) {
2912
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2913
+ p_vpi_value arg2 = (p_vpi_value) 0 ;
2914
+
2915
+ if ((argc < 1) || (argc > 1))
2916
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2917
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
2918
+ SWIG_ConvertPtr(argv[0], (void **) &arg2, SWIGTYPE_p_t_vpi_value, SWIG_POINTER_DISOWN);
2919
+ if (arg1) (arg1)->value = arg2;
2920
+
2921
+ return Qnil;
2922
+ }
2923
+
2924
+
2925
+ static VALUE
2926
+ _wrap_s_cb_data_value_get(int argc, VALUE *argv, VALUE self) {
2927
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2928
+ p_vpi_value result;
2929
+ VALUE vresult = Qnil;
2930
+
2931
+ if ((argc < 0) || (argc > 0))
2932
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2933
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
2934
+ result = (p_vpi_value) ((arg1)->value);
2935
+
2936
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_t_vpi_value,0);
2937
+ return vresult;
2938
+ }
2939
+
2940
+
2941
+ static VALUE
2942
+ _wrap_s_cb_data_index_set(int argc, VALUE *argv, VALUE self) {
2943
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2944
+ PLI_INT32 arg2 ;
2945
+
2946
+ if ((argc < 1) || (argc > 1))
2947
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2948
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
2949
+ arg2 = NUM2INT(argv[0]);
2950
+ if (arg1) (arg1)->index = arg2;
2951
+
2952
+ return Qnil;
2953
+ }
2954
+
2955
+
2956
+ static VALUE
2957
+ _wrap_s_cb_data_index_get(int argc, VALUE *argv, VALUE self) {
2958
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2959
+ PLI_INT32 result;
2960
+ VALUE vresult = Qnil;
2961
+
2962
+ if ((argc < 0) || (argc > 0))
2963
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
2964
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
2965
+ result = (PLI_INT32) ((arg1)->index);
2966
+
2967
+ vresult = INT2NUM(result);
2968
+ return vresult;
2969
+ }
2970
+
2971
+
2972
+ static VALUE
2973
+ _wrap_s_cb_data_user_data_set(int argc, VALUE *argv, VALUE self) {
2974
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2975
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
2976
+
2977
+ if ((argc < 1) || (argc > 1))
2978
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
2979
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
2980
+ arg2 = StringValuePtr(argv[0]);
2981
+ {
2982
+ if (arg1->user_data) free((char*)arg1->user_data);
2983
+ if (arg2) {
2984
+ arg1->user_data = (PLI_BYTE8 *) malloc(strlen(arg2)+1);
2985
+ strcpy((char*)arg1->user_data,arg2);
2986
+ } else {
2987
+ arg1->user_data = 0;
2988
+ }
2989
+ }
2990
+ return Qnil;
2991
+ }
2992
+
2993
+
2994
+ static VALUE
2995
+ _wrap_s_cb_data_user_data_get(int argc, VALUE *argv, VALUE self) {
2996
+ s_cb_data *arg1 = (s_cb_data *) 0 ;
2997
+ PLI_BYTE8 *result;
2998
+ VALUE vresult = Qnil;
2999
+
3000
+ if ((argc < 0) || (argc > 0))
3001
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
3002
+ SWIG_ConvertPtr(self, (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
3003
+ result = (PLI_BYTE8 *) ((arg1)->user_data);
3004
+
3005
+ vresult = rb_str_new2(result);
3006
+ return vresult;
3007
+ }
3008
+
3009
+
3010
+ #ifdef HAVE_RB_DEFINE_ALLOC_FUNC
3011
+ static VALUE
3012
+ _wrap_s_cb_data_allocate(VALUE self) {
3013
+ #else
3014
+ static VALUE
3015
+ _wrap_s_cb_data_allocate(int argc, VALUE *argv, VALUE self) {
3016
+ #endif
3017
+
3018
+
3019
+ VALUE vresult = SWIG_NewClassInstance(self, SWIGTYPE_p_t_cb_data);
3020
+ #ifndef HAVE_RB_DEFINE_ALLOC_FUNC
3021
+ rb_obj_call_init(vresult, argc, argv);
3022
+ #endif
3023
+ return vresult;
3024
+ }
3025
+
3026
+
3027
+ static VALUE
3028
+ _wrap_new_s_cb_data(int argc, VALUE *argv, VALUE self) {
3029
+ s_cb_data *result;
3030
+
3031
+ if ((argc < 0) || (argc > 0))
3032
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
3033
+ result = (s_cb_data *)(s_cb_data *) calloc(1, sizeof(s_cb_data));
3034
+ DATA_PTR(self) = result;
3035
+
3036
+ return self;
3037
+ }
3038
+
3039
+
3040
+ static void
3041
+ free_s_cb_data(s_cb_data *arg1) {
3042
+ free((char *) arg1);
3043
+ }
3044
+
3045
+ static VALUE
3046
+ _wrap_vpi_register_cb(int argc, VALUE *argv, VALUE self) {
3047
+ p_cb_data arg1 = (p_cb_data) 0 ;
3048
+ vpiHandle result;
3049
+ VALUE vresult = Qnil;
3050
+
3051
+ if ((argc < 1) || (argc > 1))
3052
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3053
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_t_cb_data, 0);
3054
+ result = (vpiHandle)vpi_register_cb(arg1);
3055
+
3056
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_unsigned_int,0);
3057
+ return vresult;
3058
+ }
3059
+
3060
+
3061
+ static VALUE
3062
+ _wrap_vpi_remove_cb(int argc, VALUE *argv, VALUE self) {
3063
+ vpiHandle arg1 = (vpiHandle) 0 ;
3064
+ PLI_INT32 result;
3065
+ VALUE vresult = Qnil;
3066
+
3067
+ if ((argc < 1) || (argc > 1))
3068
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3069
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3070
+ result = (PLI_INT32)vpi_remove_cb(arg1);
3071
+
3072
+ vresult = INT2NUM(result);
3073
+ return vresult;
3074
+ }
3075
+
3076
+
3077
+ static VALUE
3078
+ _wrap_vpi_get_cb_info(int argc, VALUE *argv, VALUE self) {
3079
+ vpiHandle arg1 = (vpiHandle) 0 ;
3080
+ p_cb_data arg2 = (p_cb_data) 0 ;
3081
+
3082
+ if ((argc < 2) || (argc > 2))
3083
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3084
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3085
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_t_cb_data, 0);
3086
+ vpi_get_cb_info(arg1,arg2);
3087
+
3088
+ return Qnil;
3089
+ }
3090
+
3091
+
3092
+ static VALUE
3093
+ _wrap_vpi_register_systf(int argc, VALUE *argv, VALUE self) {
3094
+ p_vpi_systf_data arg1 = (p_vpi_systf_data) 0 ;
3095
+ vpiHandle result;
3096
+ VALUE vresult = Qnil;
3097
+
3098
+ if ((argc < 1) || (argc > 1))
3099
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3100
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_t_vpi_systf_data, 0);
3101
+ result = (vpiHandle)vpi_register_systf(arg1);
3102
+
3103
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_unsigned_int,0);
3104
+ return vresult;
3105
+ }
3106
+
3107
+
3108
+ static VALUE
3109
+ _wrap_vpi_get_systf_info(int argc, VALUE *argv, VALUE self) {
3110
+ vpiHandle arg1 = (vpiHandle) 0 ;
3111
+ p_vpi_systf_data arg2 = (p_vpi_systf_data) 0 ;
3112
+
3113
+ if ((argc < 2) || (argc > 2))
3114
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3115
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3116
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_t_vpi_systf_data, 0);
3117
+ vpi_get_systf_info(arg1,arg2);
3118
+
3119
+ return Qnil;
3120
+ }
3121
+
3122
+
3123
+ static VALUE
3124
+ _wrap_vpi_handle_by_name(int argc, VALUE *argv, VALUE self) {
3125
+ PLI_BYTE8 *arg1 = (PLI_BYTE8 *) 0 ;
3126
+ vpiHandle arg2 = (vpiHandle) 0 ;
3127
+ vpiHandle result;
3128
+ VALUE vresult = Qnil;
3129
+
3130
+ if ((argc < 2) || (argc > 2))
3131
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3132
+ arg1 = StringValuePtr(argv[0]);
3133
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_unsigned_int, 0);
3134
+ result = (vpiHandle)vpi_handle_by_name(arg1,arg2);
3135
+
3136
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_unsigned_int,0);
3137
+ return vresult;
3138
+ }
3139
+
3140
+
3141
+ static VALUE
3142
+ _wrap_vpi_handle_by_index(int argc, VALUE *argv, VALUE self) {
3143
+ vpiHandle arg1 = (vpiHandle) 0 ;
3144
+ PLI_INT32 arg2 ;
3145
+ vpiHandle result;
3146
+ VALUE vresult = Qnil;
3147
+
3148
+ if ((argc < 2) || (argc > 2))
3149
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3150
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3151
+ arg2 = NUM2INT(argv[1]);
3152
+ result = (vpiHandle)vpi_handle_by_index(arg1,arg2);
3153
+
3154
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_unsigned_int,0);
3155
+ return vresult;
3156
+ }
3157
+
3158
+
3159
+ static VALUE
3160
+ _wrap_vpi_handle(int argc, VALUE *argv, VALUE self) {
3161
+ PLI_INT32 arg1 ;
3162
+ vpiHandle arg2 = (vpiHandle) 0 ;
3163
+ vpiHandle result;
3164
+ VALUE vresult = Qnil;
3165
+
3166
+ if ((argc < 2) || (argc > 2))
3167
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3168
+ arg1 = NUM2INT(argv[0]);
3169
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_unsigned_int, 0);
3170
+ result = (vpiHandle)vpi_handle(arg1,arg2);
3171
+
3172
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_unsigned_int,0);
3173
+ return vresult;
3174
+ }
3175
+
3176
+
3177
+ static VALUE
3178
+ _wrap_vpi_handle_multi(int argc, VALUE *argv, VALUE self) {
3179
+ PLI_INT32 arg1 ;
3180
+ vpiHandle arg2 = (vpiHandle) 0 ;
3181
+ vpiHandle arg3 = (vpiHandle) 0 ;
3182
+ void *arg4 = 0 ;
3183
+ vpiHandle result;
3184
+ VALUE vresult = Qnil;
3185
+
3186
+ if (argc < 3)
3187
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 3)",argc);
3188
+ arg1 = NUM2INT(argv[0]);
3189
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_unsigned_int, 0);
3190
+ SWIG_ConvertPtr(argv[2], (void **) &arg3, SWIGTYPE_p_unsigned_int, 0);
3191
+ result = (vpiHandle)vpi_handle_multi(arg1,arg2,arg3,arg4);
3192
+
3193
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_unsigned_int,0);
3194
+ return vresult;
3195
+ }
3196
+
3197
+
3198
+ static VALUE
3199
+ _wrap_vpi_iterate(int argc, VALUE *argv, VALUE self) {
3200
+ PLI_INT32 arg1 ;
3201
+ vpiHandle arg2 = (vpiHandle) 0 ;
3202
+ vpiHandle result;
3203
+ VALUE vresult = Qnil;
3204
+
3205
+ if ((argc < 2) || (argc > 2))
3206
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3207
+ arg1 = NUM2INT(argv[0]);
3208
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_unsigned_int, 0);
3209
+ result = (vpiHandle)vpi_iterate(arg1,arg2);
3210
+
3211
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_unsigned_int,0);
3212
+ return vresult;
3213
+ }
3214
+
3215
+
3216
+ static VALUE
3217
+ _wrap_vpi_scan(int argc, VALUE *argv, VALUE self) {
3218
+ vpiHandle arg1 = (vpiHandle) 0 ;
3219
+ vpiHandle result;
3220
+ VALUE vresult = Qnil;
3221
+
3222
+ if ((argc < 1) || (argc > 1))
3223
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3224
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3225
+ result = (vpiHandle)vpi_scan(arg1);
3226
+
3227
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_unsigned_int,0);
3228
+ return vresult;
3229
+ }
3230
+
3231
+
3232
+ static VALUE
3233
+ _wrap_vpi_get(int argc, VALUE *argv, VALUE self) {
3234
+ PLI_INT32 arg1 ;
3235
+ vpiHandle arg2 = (vpiHandle) 0 ;
3236
+ PLI_INT32 result;
3237
+ VALUE vresult = Qnil;
3238
+
3239
+ if ((argc < 2) || (argc > 2))
3240
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3241
+ arg1 = NUM2INT(argv[0]);
3242
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_unsigned_int, 0);
3243
+ result = (PLI_INT32)vpi_get(arg1,arg2);
3244
+
3245
+ vresult = INT2NUM(result);
3246
+ return vresult;
3247
+ }
3248
+
3249
+
3250
+ static VALUE
3251
+ _wrap_vpi_get_str(int argc, VALUE *argv, VALUE self) {
3252
+ PLI_INT32 arg1 ;
3253
+ vpiHandle arg2 = (vpiHandle) 0 ;
3254
+ PLI_BYTE8 *result;
3255
+ VALUE vresult = Qnil;
3256
+
3257
+ if ((argc < 2) || (argc > 2))
3258
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3259
+ arg1 = NUM2INT(argv[0]);
3260
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_unsigned_int, 0);
3261
+ result = (PLI_BYTE8 *)vpi_get_str(arg1,arg2);
3262
+
3263
+ vresult = rb_str_new2(result);
3264
+ return vresult;
3265
+ }
3266
+
3267
+
3268
+ static VALUE
3269
+ _wrap_vpi_get_delays(int argc, VALUE *argv, VALUE self) {
3270
+ vpiHandle arg1 = (vpiHandle) 0 ;
3271
+ p_vpi_delay arg2 = (p_vpi_delay) 0 ;
3272
+
3273
+ if ((argc < 2) || (argc > 2))
3274
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3275
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3276
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_t_vpi_delay, 0);
3277
+ vpi_get_delays(arg1,arg2);
3278
+
3279
+ return Qnil;
3280
+ }
3281
+
3282
+
3283
+ static VALUE
3284
+ _wrap_vpi_put_delays(int argc, VALUE *argv, VALUE self) {
3285
+ vpiHandle arg1 = (vpiHandle) 0 ;
3286
+ p_vpi_delay arg2 = (p_vpi_delay) 0 ;
3287
+
3288
+ if ((argc < 2) || (argc > 2))
3289
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3290
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3291
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_t_vpi_delay, 0);
3292
+ vpi_put_delays(arg1,arg2);
3293
+
3294
+ return Qnil;
3295
+ }
3296
+
3297
+
3298
+ static VALUE
3299
+ _wrap_vpi_get_value(int argc, VALUE *argv, VALUE self) {
3300
+ vpiHandle arg1 = (vpiHandle) 0 ;
3301
+ p_vpi_value arg2 = (p_vpi_value) 0 ;
3302
+
3303
+ if ((argc < 2) || (argc > 2))
3304
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3305
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3306
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_t_vpi_value, 0);
3307
+ vpi_get_value(arg1,arg2);
3308
+
3309
+ return Qnil;
3310
+ }
3311
+
3312
+
3313
+ static VALUE
3314
+ _wrap_vpi_put_value(int argc, VALUE *argv, VALUE self) {
3315
+ vpiHandle arg1 = (vpiHandle) 0 ;
3316
+ p_vpi_value arg2 = (p_vpi_value) 0 ;
3317
+ p_vpi_time arg3 = (p_vpi_time) 0 ;
3318
+ PLI_INT32 arg4 ;
3319
+ vpiHandle result;
3320
+ VALUE vresult = Qnil;
3321
+
3322
+ if ((argc < 4) || (argc > 4))
3323
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 4)",argc);
3324
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3325
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_t_vpi_value, 0);
3326
+ SWIG_ConvertPtr(argv[2], (void **) &arg3, SWIGTYPE_p_t_vpi_time, 0);
3327
+ arg4 = NUM2INT(argv[3]);
3328
+ result = (vpiHandle)vpi_put_value(arg1,arg2,arg3,arg4);
3329
+
3330
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_unsigned_int,0);
3331
+ return vresult;
3332
+ }
3333
+
3334
+
3335
+ static VALUE
3336
+ _wrap_vpi_get_time(int argc, VALUE *argv, VALUE self) {
3337
+ vpiHandle arg1 = (vpiHandle) 0 ;
3338
+ p_vpi_time arg2 = (p_vpi_time) 0 ;
3339
+
3340
+ if ((argc < 2) || (argc > 2))
3341
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3342
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3343
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_t_vpi_time, 0);
3344
+ vpi_get_time(arg1,arg2);
3345
+
3346
+ return Qnil;
3347
+ }
3348
+
3349
+
3350
+ static VALUE
3351
+ _wrap_vpi_mcd_open(int argc, VALUE *argv, VALUE self) {
3352
+ PLI_BYTE8 *arg1 = (PLI_BYTE8 *) 0 ;
3353
+ PLI_UINT32 result;
3354
+ VALUE vresult = Qnil;
3355
+
3356
+ if ((argc < 1) || (argc > 1))
3357
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3358
+ arg1 = StringValuePtr(argv[0]);
3359
+ result = (PLI_UINT32)vpi_mcd_open(arg1);
3360
+
3361
+ vresult = UINT2NUM(result);
3362
+ return vresult;
3363
+ }
3364
+
3365
+
3366
+ static VALUE
3367
+ _wrap_vpi_mcd_close(int argc, VALUE *argv, VALUE self) {
3368
+ PLI_UINT32 arg1 ;
3369
+ PLI_UINT32 result;
3370
+ VALUE vresult = Qnil;
3371
+
3372
+ if ((argc < 1) || (argc > 1))
3373
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3374
+ arg1 = NUM2UINT(argv[0]);
3375
+ result = (PLI_UINT32)vpi_mcd_close(arg1);
3376
+
3377
+ vresult = UINT2NUM(result);
3378
+ return vresult;
3379
+ }
3380
+
3381
+
3382
+ static VALUE
3383
+ _wrap_vpi_mcd_name(int argc, VALUE *argv, VALUE self) {
3384
+ PLI_UINT32 arg1 ;
3385
+ PLI_BYTE8 *result;
3386
+ VALUE vresult = Qnil;
3387
+
3388
+ if ((argc < 1) || (argc > 1))
3389
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3390
+ arg1 = NUM2UINT(argv[0]);
3391
+ result = (PLI_BYTE8 *)vpi_mcd_name(arg1);
3392
+
3393
+ vresult = rb_str_new2(result);
3394
+ return vresult;
3395
+ }
3396
+
3397
+
3398
+ static VALUE
3399
+ _wrap_vpi_mcd_printf(int argc, VALUE *argv, VALUE self) {
3400
+ PLI_UINT32 arg1 ;
3401
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
3402
+ void *arg3 = 0 ;
3403
+ PLI_INT32 result;
3404
+ VALUE vresult = Qnil;
3405
+
3406
+ if (argc < 2)
3407
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3408
+ arg1 = NUM2UINT(argv[0]);
3409
+ arg2 = StringValuePtr(argv[1]);
3410
+ result = (PLI_INT32)vpi_mcd_printf(arg1,arg2,arg3);
3411
+
3412
+ vresult = INT2NUM(result);
3413
+ return vresult;
3414
+ }
3415
+
3416
+
3417
+ static VALUE
3418
+ _wrap_vpi_printf(int argc, VALUE *argv, VALUE self) {
3419
+ PLI_BYTE8 *arg1 = (PLI_BYTE8 *) 0 ;
3420
+ void *arg2 = 0 ;
3421
+ PLI_INT32 result;
3422
+ VALUE vresult = Qnil;
3423
+
3424
+ if (argc < 1)
3425
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3426
+ arg1 = StringValuePtr(argv[0]);
3427
+ result = (PLI_INT32)vpi_printf(arg1,arg2);
3428
+
3429
+ vresult = INT2NUM(result);
3430
+ return vresult;
3431
+ }
3432
+
3433
+
3434
+ static VALUE
3435
+ _wrap_vpi_compare_objects(int argc, VALUE *argv, VALUE self) {
3436
+ vpiHandle arg1 = (vpiHandle) 0 ;
3437
+ vpiHandle arg2 = (vpiHandle) 0 ;
3438
+ PLI_INT32 result;
3439
+ VALUE vresult = Qnil;
3440
+
3441
+ if ((argc < 2) || (argc > 2))
3442
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3443
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3444
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, SWIGTYPE_p_unsigned_int, 0);
3445
+ result = (PLI_INT32)vpi_compare_objects(arg1,arg2);
3446
+
3447
+ vresult = INT2NUM(result);
3448
+ return vresult;
3449
+ }
3450
+
3451
+
3452
+ static VALUE
3453
+ _wrap_vpi_chk_error(int argc, VALUE *argv, VALUE self) {
3454
+ p_vpi_error_info arg1 = (p_vpi_error_info) 0 ;
3455
+ PLI_INT32 result;
3456
+ VALUE vresult = Qnil;
3457
+
3458
+ if ((argc < 1) || (argc > 1))
3459
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3460
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_t_vpi_error_info, 0);
3461
+ result = (PLI_INT32)vpi_chk_error(arg1);
3462
+
3463
+ vresult = INT2NUM(result);
3464
+ return vresult;
3465
+ }
3466
+
3467
+
3468
+ static VALUE
3469
+ _wrap_vpi_free_object(int argc, VALUE *argv, VALUE self) {
3470
+ vpiHandle arg1 = (vpiHandle) 0 ;
3471
+ PLI_INT32 result;
3472
+ VALUE vresult = Qnil;
3473
+
3474
+ if ((argc < 1) || (argc > 1))
3475
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3476
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3477
+ result = (PLI_INT32)vpi_free_object(arg1);
3478
+
3479
+ vresult = INT2NUM(result);
3480
+ return vresult;
3481
+ }
3482
+
3483
+
3484
+ static VALUE
3485
+ _wrap_vpi_get_vlog_info(int argc, VALUE *argv, VALUE self) {
3486
+ p_vpi_vlog_info arg1 = (p_vpi_vlog_info) 0 ;
3487
+ PLI_INT32 result;
3488
+ VALUE vresult = Qnil;
3489
+
3490
+ if ((argc < 1) || (argc > 1))
3491
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3492
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_t_vpi_vlog_info, 0);
3493
+ result = (PLI_INT32)vpi_get_vlog_info(arg1);
3494
+
3495
+ vresult = INT2NUM(result);
3496
+ return vresult;
3497
+ }
3498
+
3499
+
3500
+ static VALUE
3501
+ _wrap_vpi_get_data(int argc, VALUE *argv, VALUE self) {
3502
+ PLI_INT32 arg1 ;
3503
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
3504
+ PLI_INT32 arg3 ;
3505
+ PLI_INT32 result;
3506
+ VALUE vresult = Qnil;
3507
+
3508
+ if ((argc < 3) || (argc > 3))
3509
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 3)",argc);
3510
+ arg1 = NUM2INT(argv[0]);
3511
+ arg2 = StringValuePtr(argv[1]);
3512
+ arg3 = NUM2INT(argv[2]);
3513
+ result = (PLI_INT32)vpi_get_data(arg1,arg2,arg3);
3514
+
3515
+ vresult = INT2NUM(result);
3516
+ return vresult;
3517
+ }
3518
+
3519
+
3520
+ static VALUE
3521
+ _wrap_vpi_put_data(int argc, VALUE *argv, VALUE self) {
3522
+ PLI_INT32 arg1 ;
3523
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
3524
+ PLI_INT32 arg3 ;
3525
+ PLI_INT32 result;
3526
+ VALUE vresult = Qnil;
3527
+
3528
+ if ((argc < 3) || (argc > 3))
3529
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 3)",argc);
3530
+ arg1 = NUM2INT(argv[0]);
3531
+ arg2 = StringValuePtr(argv[1]);
3532
+ arg3 = NUM2INT(argv[2]);
3533
+ result = (PLI_INT32)vpi_put_data(arg1,arg2,arg3);
3534
+
3535
+ vresult = INT2NUM(result);
3536
+ return vresult;
3537
+ }
3538
+
3539
+
3540
+ static VALUE
3541
+ _wrap_vpi_get_userdata(int argc, VALUE *argv, VALUE self) {
3542
+ vpiHandle arg1 = (vpiHandle) 0 ;
3543
+ void *result;
3544
+ VALUE vresult = Qnil;
3545
+
3546
+ if ((argc < 1) || (argc > 1))
3547
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3548
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3549
+ result = (void *)vpi_get_userdata(arg1);
3550
+
3551
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_void,0);
3552
+ return vresult;
3553
+ }
3554
+
3555
+
3556
+ static VALUE
3557
+ _wrap_vpi_put_userdata(int argc, VALUE *argv, VALUE self) {
3558
+ vpiHandle arg1 = (vpiHandle) 0 ;
3559
+ void *arg2 = (void *) 0 ;
3560
+ PLI_INT32 result;
3561
+ VALUE vresult = Qnil;
3562
+
3563
+ if ((argc < 2) || (argc > 2))
3564
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3565
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3566
+ SWIG_ConvertPtr(argv[1], (void **) &arg2, 0, SWIG_POINTER_EXCEPTION|0);
3567
+ result = (PLI_INT32)vpi_put_userdata(arg1,arg2);
3568
+
3569
+ vresult = INT2NUM(result);
3570
+ return vresult;
3571
+ }
3572
+
3573
+
3574
+ static VALUE
3575
+ _wrap_vpi_vprintf(int argc, VALUE *argv, VALUE self) {
3576
+ PLI_BYTE8 *arg1 = (PLI_BYTE8 *) 0 ;
3577
+ int arg2 ;
3578
+ PLI_INT32 result;
3579
+ VALUE vresult = Qnil;
3580
+
3581
+ if ((argc < 2) || (argc > 2))
3582
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 2)",argc);
3583
+ arg1 = StringValuePtr(argv[0]);
3584
+ arg2 = NUM2INT(argv[1]);
3585
+ result = (PLI_INT32)vpi_vprintf(arg1,arg2);
3586
+
3587
+ vresult = INT2NUM(result);
3588
+ return vresult;
3589
+ }
3590
+
3591
+
3592
+ static VALUE
3593
+ _wrap_vpi_mcd_vprintf(int argc, VALUE *argv, VALUE self) {
3594
+ PLI_UINT32 arg1 ;
3595
+ PLI_BYTE8 *arg2 = (PLI_BYTE8 *) 0 ;
3596
+ int arg3 ;
3597
+ PLI_INT32 result;
3598
+ VALUE vresult = Qnil;
3599
+
3600
+ if ((argc < 3) || (argc > 3))
3601
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 3)",argc);
3602
+ arg1 = NUM2UINT(argv[0]);
3603
+ arg2 = StringValuePtr(argv[1]);
3604
+ arg3 = NUM2INT(argv[2]);
3605
+ result = (PLI_INT32)vpi_mcd_vprintf(arg1,arg2,arg3);
3606
+
3607
+ vresult = INT2NUM(result);
3608
+ return vresult;
3609
+ }
3610
+
3611
+
3612
+ static VALUE
3613
+ _wrap_vpi_flush(int argc, VALUE *argv, VALUE self) {
3614
+ PLI_INT32 result;
3615
+ VALUE vresult = Qnil;
3616
+
3617
+ if ((argc < 0) || (argc > 0))
3618
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 0)",argc);
3619
+ result = (PLI_INT32)vpi_flush();
3620
+
3621
+ vresult = INT2NUM(result);
3622
+ return vresult;
3623
+ }
3624
+
3625
+
3626
+ static VALUE
3627
+ _wrap_vpi_mcd_flush(int argc, VALUE *argv, VALUE self) {
3628
+ PLI_UINT32 arg1 ;
3629
+ PLI_INT32 result;
3630
+ VALUE vresult = Qnil;
3631
+
3632
+ if ((argc < 1) || (argc > 1))
3633
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3634
+ arg1 = NUM2UINT(argv[0]);
3635
+ result = (PLI_INT32)vpi_mcd_flush(arg1);
3636
+
3637
+ vresult = INT2NUM(result);
3638
+ return vresult;
3639
+ }
3640
+
3641
+
3642
+ static VALUE
3643
+ _wrap_vpi_control(int argc, VALUE *argv, VALUE self) {
3644
+ PLI_INT32 arg1 ;
3645
+ void *arg2 = 0 ;
3646
+ PLI_INT32 result;
3647
+ VALUE vresult = Qnil;
3648
+
3649
+ if (argc < 1)
3650
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 1)",argc);
3651
+ arg1 = NUM2INT(argv[0]);
3652
+ result = (PLI_INT32)vpi_control(arg1,arg2);
3653
+
3654
+ vresult = INT2NUM(result);
3655
+ return vresult;
3656
+ }
3657
+
3658
+
3659
+ static VALUE
3660
+ _wrap_vpi_handle_by_multi_index(int argc, VALUE *argv, VALUE self) {
3661
+ vpiHandle arg1 = (vpiHandle) 0 ;
3662
+ PLI_INT32 arg2 ;
3663
+ PLI_INT32 *arg3 = (PLI_INT32 *) 0 ;
3664
+ vpiHandle result;
3665
+ VALUE vresult = Qnil;
3666
+
3667
+ if ((argc < 3) || (argc > 3))
3668
+ rb_raise(rb_eArgError, "wrong # of arguments(%d for 3)",argc);
3669
+ SWIG_ConvertPtr(argv[0], (void **) &arg1, SWIGTYPE_p_unsigned_int, 0);
3670
+ arg2 = NUM2INT(argv[1]);
3671
+ SWIG_ConvertPtr(argv[2], (void **) &arg3, SWIGTYPE_p_int, 0);
3672
+ result = (vpiHandle)vpi_handle_by_multi_index(arg1,arg2,arg3);
3673
+
3674
+ vresult = SWIG_NewPointerObj((void *) result, SWIGTYPE_p_unsigned_int,0);
3675
+ return vresult;
3676
+ }
3677
+
3678
+
3679
+ static VALUE
3680
+ vlog_startup_routines_get(VALUE self) {
3681
+ VALUE _val;
3682
+
3683
+ _val = SWIG_NewPointerObj((void *) vlog_startup_routines, SWIGTYPE_p_p_f___void,0); return _val;
3684
+ }
3685
+
3686
+
3687
+ static VALUE
3688
+ vlog_startup_routines_set(VALUE self, VALUE _val) {
3689
+ {
3690
+ rb_raise(rb_eTypeError, "C/C++ variable 'vlog_startup_routines' is readonly");
3691
+ }
3692
+ return _val;
3693
+ }
3694
+
3695
+
3696
+
3697
+ /* -------- TYPE CONVERSION AND EQUIVALENCE RULES (BEGIN) -------- */
3698
+
3699
+ static swig_type_info _swigt__p_char = {"_p_char", "char *|PLI_BYTE8 *", 0, 0, 0};
3700
+ static swig_type_info _swigt__p_f_p_char__int = {"_p_f_p_char__int", "int (*)(char *)|PLI_INT32 (*)(PLI_BYTE8 *)", 0, 0, 0};
3701
+ static swig_type_info _swigt__p_f_p_struct_t_cb_data__int = {"_p_f_p_struct_t_cb_data__int", "int (*)(struct t_cb_data *)|PLI_INT32 (*)(struct t_cb_data *)", 0, 0, 0};
3702
+ static swig_type_info _swigt__p_int = {"_p_int", "int *|PLI_INT32 *", 0, 0, 0};
3703
+ static swig_type_info _swigt__p_p_char = {"_p_p_char", "char **|PLI_BYTE8 **", 0, 0, 0};
3704
+ static swig_type_info _swigt__p_p_f___void = {"_p_p_f___void", "void (**)()", 0, 0, 0};
3705
+ static swig_type_info _swigt__p_s_vpi_value_value = {"_p_s_vpi_value_value", "s_vpi_value_value *", 0, 0, 0};
3706
+ static swig_type_info _swigt__p_short = {"_p_short", "short *|PLI_INT16 *", 0, 0, 0};
3707
+ static swig_type_info _swigt__p_t_cb_data = {"_p_t_cb_data", "struct t_cb_data *|p_cb_data", 0, 0, 0};
3708
+ static swig_type_info _swigt__p_t_vpi_delay = {"_p_t_vpi_delay", "struct t_vpi_delay *|p_vpi_delay", 0, 0, 0};
3709
+ static swig_type_info _swigt__p_t_vpi_error_info = {"_p_t_vpi_error_info", "struct t_vpi_error_info *|p_vpi_error_info", 0, 0, 0};
3710
+ static swig_type_info _swigt__p_t_vpi_strengthval = {"_p_t_vpi_strengthval", "struct t_vpi_strengthval *", 0, 0, 0};
3711
+ static swig_type_info _swigt__p_t_vpi_systf_data = {"_p_t_vpi_systf_data", "struct t_vpi_systf_data *|p_vpi_systf_data", 0, 0, 0};
3712
+ static swig_type_info _swigt__p_t_vpi_time = {"_p_t_vpi_time", "struct t_vpi_time *|p_vpi_time", 0, 0, 0};
3713
+ static swig_type_info _swigt__p_t_vpi_value = {"_p_t_vpi_value", "struct t_vpi_value *|p_vpi_value", 0, 0, 0};
3714
+ static swig_type_info _swigt__p_t_vpi_vecval = {"_p_t_vpi_vecval", "struct t_vpi_vecval *", 0, 0, 0};
3715
+ static swig_type_info _swigt__p_t_vpi_vlog_info = {"_p_t_vpi_vlog_info", "struct t_vpi_vlog_info *|p_vpi_vlog_info", 0, 0, 0};
3716
+ static swig_type_info _swigt__p_unsigned_char = {"_p_unsigned_char", "unsigned char *|PLI_UBYTE8 *", 0, 0, 0};
3717
+ static swig_type_info _swigt__p_unsigned_int = {"_p_unsigned_int", "unsigned int *|vpiHandle", 0, 0, 0};
3718
+ static swig_type_info _swigt__p_unsigned_long = {"_p_unsigned_long", "unsigned long *|VALUE *", 0, 0, 0};
3719
+ static swig_type_info _swigt__p_unsigned_short = {"_p_unsigned_short", "unsigned short *|PLI_UINT16 *", 0, 0, 0};
3720
+ static swig_type_info _swigt__p_void = {"_p_void", "void *", 0, 0, 0};
3721
+
3722
+ static swig_type_info *swig_type_initial[] = {
3723
+ &_swigt__p_char,
3724
+ &_swigt__p_f_p_char__int,
3725
+ &_swigt__p_f_p_struct_t_cb_data__int,
3726
+ &_swigt__p_int,
3727
+ &_swigt__p_p_char,
3728
+ &_swigt__p_p_f___void,
3729
+ &_swigt__p_s_vpi_value_value,
3730
+ &_swigt__p_short,
3731
+ &_swigt__p_t_cb_data,
3732
+ &_swigt__p_t_vpi_delay,
3733
+ &_swigt__p_t_vpi_error_info,
3734
+ &_swigt__p_t_vpi_strengthval,
3735
+ &_swigt__p_t_vpi_systf_data,
3736
+ &_swigt__p_t_vpi_time,
3737
+ &_swigt__p_t_vpi_value,
3738
+ &_swigt__p_t_vpi_vecval,
3739
+ &_swigt__p_t_vpi_vlog_info,
3740
+ &_swigt__p_unsigned_char,
3741
+ &_swigt__p_unsigned_int,
3742
+ &_swigt__p_unsigned_long,
3743
+ &_swigt__p_unsigned_short,
3744
+ &_swigt__p_void,
3745
+ };
3746
+
3747
+ static swig_cast_info _swigc__p_char[] = { {&_swigt__p_char, 0, 0, 0},{0, 0, 0, 0}};
3748
+ static swig_cast_info _swigc__p_f_p_char__int[] = { {&_swigt__p_f_p_char__int, 0, 0, 0},{0, 0, 0, 0}};
3749
+ static swig_cast_info _swigc__p_f_p_struct_t_cb_data__int[] = { {&_swigt__p_f_p_struct_t_cb_data__int, 0, 0, 0},{0, 0, 0, 0}};
3750
+ static swig_cast_info _swigc__p_int[] = { {&_swigt__p_int, 0, 0, 0},{0, 0, 0, 0}};
3751
+ static swig_cast_info _swigc__p_p_char[] = { {&_swigt__p_p_char, 0, 0, 0},{0, 0, 0, 0}};
3752
+ static swig_cast_info _swigc__p_p_f___void[] = { {&_swigt__p_p_f___void, 0, 0, 0},{0, 0, 0, 0}};
3753
+ static swig_cast_info _swigc__p_s_vpi_value_value[] = { {&_swigt__p_s_vpi_value_value, 0, 0, 0},{0, 0, 0, 0}};
3754
+ static swig_cast_info _swigc__p_short[] = { {&_swigt__p_short, 0, 0, 0},{0, 0, 0, 0}};
3755
+ static swig_cast_info _swigc__p_t_cb_data[] = { {&_swigt__p_t_cb_data, 0, 0, 0},{0, 0, 0, 0}};
3756
+ static swig_cast_info _swigc__p_t_vpi_delay[] = { {&_swigt__p_t_vpi_delay, 0, 0, 0},{0, 0, 0, 0}};
3757
+ static swig_cast_info _swigc__p_t_vpi_error_info[] = { {&_swigt__p_t_vpi_error_info, 0, 0, 0},{0, 0, 0, 0}};
3758
+ static swig_cast_info _swigc__p_t_vpi_strengthval[] = { {&_swigt__p_t_vpi_strengthval, 0, 0, 0},{0, 0, 0, 0}};
3759
+ static swig_cast_info _swigc__p_t_vpi_systf_data[] = { {&_swigt__p_t_vpi_systf_data, 0, 0, 0},{0, 0, 0, 0}};
3760
+ static swig_cast_info _swigc__p_t_vpi_time[] = { {&_swigt__p_t_vpi_time, 0, 0, 0},{0, 0, 0, 0}};
3761
+ static swig_cast_info _swigc__p_t_vpi_value[] = { {&_swigt__p_t_vpi_value, 0, 0, 0},{0, 0, 0, 0}};
3762
+ static swig_cast_info _swigc__p_t_vpi_vecval[] = { {&_swigt__p_t_vpi_vecval, 0, 0, 0},{0, 0, 0, 0}};
3763
+ static swig_cast_info _swigc__p_t_vpi_vlog_info[] = { {&_swigt__p_t_vpi_vlog_info, 0, 0, 0},{0, 0, 0, 0}};
3764
+ static swig_cast_info _swigc__p_unsigned_char[] = { {&_swigt__p_unsigned_char, 0, 0, 0},{0, 0, 0, 0}};
3765
+ static swig_cast_info _swigc__p_unsigned_int[] = { {&_swigt__p_unsigned_int, 0, 0, 0},{0, 0, 0, 0}};
3766
+ static swig_cast_info _swigc__p_unsigned_long[] = { {&_swigt__p_unsigned_long, 0, 0, 0},{0, 0, 0, 0}};
3767
+ static swig_cast_info _swigc__p_unsigned_short[] = { {&_swigt__p_unsigned_short, 0, 0, 0},{0, 0, 0, 0}};
3768
+ static swig_cast_info _swigc__p_void[] = { {&_swigt__p_void, 0, 0, 0},{0, 0, 0, 0}};
3769
+
3770
+ static swig_cast_info *swig_cast_initial[] = {
3771
+ _swigc__p_char,
3772
+ _swigc__p_f_p_char__int,
3773
+ _swigc__p_f_p_struct_t_cb_data__int,
3774
+ _swigc__p_int,
3775
+ _swigc__p_p_char,
3776
+ _swigc__p_p_f___void,
3777
+ _swigc__p_s_vpi_value_value,
3778
+ _swigc__p_short,
3779
+ _swigc__p_t_cb_data,
3780
+ _swigc__p_t_vpi_delay,
3781
+ _swigc__p_t_vpi_error_info,
3782
+ _swigc__p_t_vpi_strengthval,
3783
+ _swigc__p_t_vpi_systf_data,
3784
+ _swigc__p_t_vpi_time,
3785
+ _swigc__p_t_vpi_value,
3786
+ _swigc__p_t_vpi_vecval,
3787
+ _swigc__p_t_vpi_vlog_info,
3788
+ _swigc__p_unsigned_char,
3789
+ _swigc__p_unsigned_int,
3790
+ _swigc__p_unsigned_long,
3791
+ _swigc__p_unsigned_short,
3792
+ _swigc__p_void,
3793
+ };
3794
+
3795
+
3796
+ /* -------- TYPE CONVERSION AND EQUIVALENCE RULES (END) -------- */
3797
+
3798
+ /*************************************************************************
3799
+ * Type initialization:
3800
+ * This problem is tough by the requirement that no dynamic
3801
+ * memory is used. Also, since swig_type_info structures store pointers to
3802
+ * swig_cast_info structures and swig_cast_info structures store pointers back
3803
+ * to swig_type_info structures, we need some lookup code at initialization.
3804
+ * The idea is that swig generates all the structures that are needed.
3805
+ * The runtime then collects these partially filled structures.
3806
+ * The SWIG_InitializeModule function takes these initial arrays out of
3807
+ * swig_module, and does all the lookup, filling in the swig_module.types
3808
+ * array with the correct data and linking the correct swig_cast_info
3809
+ * structures together.
3810
+
3811
+ * The generated swig_type_info structures are assigned staticly to an initial
3812
+ * array. We just loop though that array, and handle each type individually.
3813
+ * First we lookup if this type has been already loaded, and if so, use the
3814
+ * loaded structure instead of the generated one. Then we have to fill in the
3815
+ * cast linked list. The cast data is initially stored in something like a
3816
+ * two-dimensional array. Each row corresponds to a type (there are the same
3817
+ * number of rows as there are in the swig_type_initial array). Each entry in
3818
+ * a column is one of the swig_cast_info structures for that type.
3819
+ * The cast_initial array is actually an array of arrays, because each row has
3820
+ * a variable number of columns. So to actually build the cast linked list,
3821
+ * we find the array of casts associated with the type, and loop through it
3822
+ * adding the casts to the list. The one last trick we need to do is making
3823
+ * sure the type pointer in the swig_cast_info struct is correct.
3824
+
3825
+ * First off, we lookup the cast->type name to see if it is already loaded.
3826
+ * There are three cases to handle:
3827
+ * 1) If the cast->type has already been loaded AND the type we are adding
3828
+ * casting info to has not been loaded (it is in this module), THEN we
3829
+ * replace the cast->type pointer with the type pointer that has already
3830
+ * been loaded.
3831
+ * 2) If BOTH types (the one we are adding casting info to, and the
3832
+ * cast->type) are loaded, THEN the cast info has already been loaded by
3833
+ * the previous module so we just ignore it.
3834
+ * 3) Finally, if cast->type has not already been loaded, then we add that
3835
+ * swig_cast_info to the linked list (because the cast->type) pointer will
3836
+ * be correct.
3837
+ **/
3838
+
3839
+ #ifdef __cplusplus
3840
+ extern "C" {
3841
+ #endif
3842
+
3843
+ SWIGRUNTIME void
3844
+ SWIG_InitializeModule(void *clientdata) {
3845
+ swig_type_info *type, *ret;
3846
+ swig_cast_info *cast;
3847
+ size_t i;
3848
+ swig_module_info *module_head;
3849
+ static int init_run = 0;
3850
+
3851
+ clientdata = clientdata;
3852
+
3853
+ if (init_run) return;
3854
+ init_run = 1;
3855
+
3856
+ /* Initialize the swig_module */
3857
+ swig_module.type_initial = swig_type_initial;
3858
+ swig_module.cast_initial = swig_cast_initial;
3859
+
3860
+ /* Try and load any already created modules */
3861
+ module_head = SWIG_GetModule(clientdata);
3862
+ if (module_head) {
3863
+ swig_module.next = module_head->next;
3864
+ module_head->next = &swig_module;
3865
+ } else {
3866
+ /* This is the first module loaded */
3867
+ swig_module.next = &swig_module;
3868
+ SWIG_SetModule(clientdata, &swig_module);
3869
+ }
3870
+
3871
+ /* Now work on filling in swig_module.types */
3872
+ for (i = 0; i < swig_module.size; ++i) {
3873
+ type = 0;
3874
+
3875
+ /* if there is another module already loaded */
3876
+ if (swig_module.next != &swig_module) {
3877
+ type = SWIG_MangledTypeQueryModule(swig_module.next, &swig_module, swig_module.type_initial[i]->name);
3878
+ }
3879
+ if (type) {
3880
+ /* Overwrite clientdata field */
3881
+ if (swig_module.type_initial[i]->clientdata) type->clientdata = swig_module.type_initial[i]->clientdata;
3882
+ } else {
3883
+ type = swig_module.type_initial[i];
3884
+ }
3885
+
3886
+ /* Insert casting types */
3887
+ cast = swig_module.cast_initial[i];
3888
+ while (cast->type) {
3889
+
3890
+ /* Don't need to add information already in the list */
3891
+ ret = 0;
3892
+ if (swig_module.next != &swig_module) {
3893
+ ret = SWIG_MangledTypeQueryModule(swig_module.next, &swig_module, cast->type->name);
3894
+ }
3895
+ if (ret && type == swig_module.type_initial[i]) {
3896
+ cast->type = ret;
3897
+ ret = 0;
3898
+ }
3899
+
3900
+ if (!ret) {
3901
+ if (type->cast) {
3902
+ type->cast->prev = cast;
3903
+ cast->next = type->cast;
3904
+ }
3905
+ type->cast = cast;
3906
+ }
3907
+
3908
+ cast++;
3909
+ }
3910
+
3911
+ /* Set entry in modules->types array equal to the type */
3912
+ swig_module.types[i] = type;
3913
+ }
3914
+ swig_module.types[i] = 0;
3915
+ }
3916
+
3917
+ /* This function will propagate the clientdata field of type to
3918
+ * any new swig_type_info structures that have been added into the list
3919
+ * of equivalent types. It is like calling
3920
+ * SWIG_TypeClientData(type, clientdata) a second time.
3921
+ */
3922
+ SWIGRUNTIME void
3923
+ SWIG_PropagateClientData(void) {
3924
+ size_t i;
3925
+ swig_cast_info *equiv;
3926
+ static int init_run = 0;
3927
+
3928
+ if (init_run) return;
3929
+ init_run = 1;
3930
+
3931
+ for (i = 0; i < swig_module.size; i++) {
3932
+ if (swig_module.types[i]->clientdata) {
3933
+ equiv = swig_module.types[i]->cast;
3934
+ while (equiv) {
3935
+ if (!equiv->converter) {
3936
+ if (equiv->type && !equiv->type->clientdata)
3937
+ SWIG_TypeClientData(equiv->type, swig_module.types[i]->clientdata);
3938
+ }
3939
+ equiv = equiv->next;
3940
+ }
3941
+ }
3942
+ }
3943
+ }
3944
+
3945
+ #ifdef __cplusplus
3946
+ }
3947
+ #endif
3948
+
3949
+
3950
+ #ifdef __cplusplus
3951
+ extern "C"
3952
+ #endif
3953
+ SWIGEXPORT void Init_vpi(void) {
3954
+ size_t i;
3955
+
3956
+ SWIG_InitRuntime();
3957
+ mVpi = rb_define_module("Vpi");
3958
+
3959
+ SWIG_InitializeModule(0);
3960
+ for (i = 0; i < swig_module.size; i++) {
3961
+ SWIG_define_class(swig_module.types[i]);
3962
+ }
3963
+
3964
+ SWIG_RubyInitializeTrackings();
3965
+ rb_define_const(mVpi,"VpiAlways", INT2NUM(1));
3966
+ rb_define_const(mVpi,"VpiAssignStmt", INT2NUM(2));
3967
+ rb_define_const(mVpi,"VpiAssignment", INT2NUM(3));
3968
+ rb_define_const(mVpi,"VpiBegin", INT2NUM(4));
3969
+ rb_define_const(mVpi,"VpiCase", INT2NUM(5));
3970
+ rb_define_const(mVpi,"VpiCaseItem", INT2NUM(6));
3971
+ rb_define_const(mVpi,"VpiConstant", INT2NUM(7));
3972
+ rb_define_const(mVpi,"VpiContAssign", INT2NUM(8));
3973
+ rb_define_const(mVpi,"VpiDeassign", INT2NUM(9));
3974
+ rb_define_const(mVpi,"VpiDefParam", INT2NUM(10));
3975
+ rb_define_const(mVpi,"VpiDelayControl", INT2NUM(11));
3976
+ rb_define_const(mVpi,"VpiDisable", INT2NUM(12));
3977
+ rb_define_const(mVpi,"VpiEventControl", INT2NUM(13));
3978
+ rb_define_const(mVpi,"VpiEventStmt", INT2NUM(14));
3979
+ rb_define_const(mVpi,"VpiFor", INT2NUM(15));
3980
+ rb_define_const(mVpi,"VpiForce", INT2NUM(16));
3981
+ rb_define_const(mVpi,"VpiForever", INT2NUM(17));
3982
+ rb_define_const(mVpi,"VpiFork", INT2NUM(18));
3983
+ rb_define_const(mVpi,"VpiFuncCall", INT2NUM(19));
3984
+ rb_define_const(mVpi,"VpiFunction", INT2NUM(20));
3985
+ rb_define_const(mVpi,"VpiGate", INT2NUM(21));
3986
+ rb_define_const(mVpi,"VpiIf", INT2NUM(22));
3987
+ rb_define_const(mVpi,"VpiIfElse", INT2NUM(23));
3988
+ rb_define_const(mVpi,"VpiInitial", INT2NUM(24));
3989
+ rb_define_const(mVpi,"VpiIntegerVar", INT2NUM(25));
3990
+ rb_define_const(mVpi,"VpiInterModPath", INT2NUM(26));
3991
+ rb_define_const(mVpi,"VpiIterator", INT2NUM(27));
3992
+ rb_define_const(mVpi,"VpiIODecl", INT2NUM(28));
3993
+ rb_define_const(mVpi,"VpiMemory", INT2NUM(29));
3994
+ rb_define_const(mVpi,"VpiMemoryWord", INT2NUM(30));
3995
+ rb_define_const(mVpi,"VpiModPath", INT2NUM(31));
3996
+ rb_define_const(mVpi,"VpiModule", INT2NUM(32));
3997
+ rb_define_const(mVpi,"VpiNamedBegin", INT2NUM(33));
3998
+ rb_define_const(mVpi,"VpiNamedEvent", INT2NUM(34));
3999
+ rb_define_const(mVpi,"VpiNamedFork", INT2NUM(35));
4000
+ rb_define_const(mVpi,"VpiNet", INT2NUM(36));
4001
+ rb_define_const(mVpi,"VpiNetBit", INT2NUM(37));
4002
+ rb_define_const(mVpi,"VpiNullStmt", INT2NUM(38));
4003
+ rb_define_const(mVpi,"VpiOperation", INT2NUM(39));
4004
+ rb_define_const(mVpi,"VpiParamAssign", INT2NUM(40));
4005
+ rb_define_const(mVpi,"VpiParameter", INT2NUM(41));
4006
+ rb_define_const(mVpi,"VpiPartSelect", INT2NUM(42));
4007
+ rb_define_const(mVpi,"VpiPathTerm", INT2NUM(43));
4008
+ rb_define_const(mVpi,"VpiPort", INT2NUM(44));
4009
+ rb_define_const(mVpi,"VpiPortBit", INT2NUM(45));
4010
+ rb_define_const(mVpi,"VpiPrimTerm", INT2NUM(46));
4011
+ rb_define_const(mVpi,"VpiRealVar", INT2NUM(47));
4012
+ rb_define_const(mVpi,"VpiReg", INT2NUM(48));
4013
+ rb_define_const(mVpi,"VpiRegBit", INT2NUM(49));
4014
+ rb_define_const(mVpi,"VpiRelease", INT2NUM(50));
4015
+ rb_define_const(mVpi,"VpiRepeat", INT2NUM(51));
4016
+ rb_define_const(mVpi,"VpiRepeatControl", INT2NUM(52));
4017
+ rb_define_const(mVpi,"VpiSchedEvent", INT2NUM(53));
4018
+ rb_define_const(mVpi,"VpiSpecParam", INT2NUM(54));
4019
+ rb_define_const(mVpi,"VpiSwitch", INT2NUM(55));
4020
+ rb_define_const(mVpi,"VpiSysFuncCall", INT2NUM(56));
4021
+ rb_define_const(mVpi,"VpiSysTaskCall", INT2NUM(57));
4022
+ rb_define_const(mVpi,"VpiTableEntry", INT2NUM(58));
4023
+ rb_define_const(mVpi,"VpiTask", INT2NUM(59));
4024
+ rb_define_const(mVpi,"VpiTaskCall", INT2NUM(60));
4025
+ rb_define_const(mVpi,"VpiTchk", INT2NUM(61));
4026
+ rb_define_const(mVpi,"VpiTchkTerm", INT2NUM(62));
4027
+ rb_define_const(mVpi,"VpiTimeVar", INT2NUM(63));
4028
+ rb_define_const(mVpi,"VpiTimeQueue", INT2NUM(64));
4029
+ rb_define_const(mVpi,"VpiUdp", INT2NUM(65));
4030
+ rb_define_const(mVpi,"VpiUdpDefn", INT2NUM(66));
4031
+ rb_define_const(mVpi,"VpiUserSystf", INT2NUM(67));
4032
+ rb_define_const(mVpi,"VpiVarSelect", INT2NUM(68));
4033
+ rb_define_const(mVpi,"VpiWait", INT2NUM(69));
4034
+ rb_define_const(mVpi,"VpiWhile", INT2NUM(70));
4035
+ rb_define_const(mVpi,"VpiAttribute", INT2NUM(105));
4036
+ rb_define_const(mVpi,"VpiBitSelect", INT2NUM(106));
4037
+ rb_define_const(mVpi,"VpiCallback", INT2NUM(107));
4038
+ rb_define_const(mVpi,"VpiDelayTerm", INT2NUM(108));
4039
+ rb_define_const(mVpi,"VpiDelayDevice", INT2NUM(109));
4040
+ rb_define_const(mVpi,"VpiFrame", INT2NUM(110));
4041
+ rb_define_const(mVpi,"VpiGateArray", INT2NUM(111));
4042
+ rb_define_const(mVpi,"VpiModuleArray", INT2NUM(112));
4043
+ rb_define_const(mVpi,"VpiPrimitiveArray", INT2NUM(113));
4044
+ rb_define_const(mVpi,"VpiNetArray", INT2NUM(114));
4045
+ rb_define_const(mVpi,"VpiRange", INT2NUM(115));
4046
+ rb_define_const(mVpi,"VpiRegArray", INT2NUM(116));
4047
+ rb_define_const(mVpi,"VpiSwitchArray", INT2NUM(117));
4048
+ rb_define_const(mVpi,"VpiUdpArray", INT2NUM(118));
4049
+ rb_define_const(mVpi,"VpiContAssignBit", INT2NUM(128));
4050
+ rb_define_const(mVpi,"VpiNamedEventArray", INT2NUM(129));
4051
+ rb_define_const(mVpi,"VpiIndexedPartSelect", INT2NUM(130));
4052
+ rb_define_const(mVpi,"VpiGenScopeArray", INT2NUM(133));
4053
+ rb_define_const(mVpi,"VpiGenScope", INT2NUM(134));
4054
+ rb_define_const(mVpi,"VpiGenVar", INT2NUM(135));
4055
+ rb_define_const(mVpi,"VpiCondition", INT2NUM(71));
4056
+ rb_define_const(mVpi,"VpiDelay", INT2NUM(72));
4057
+ rb_define_const(mVpi,"VpiElseStmt", INT2NUM(73));
4058
+ rb_define_const(mVpi,"VpiForIncStmt", INT2NUM(74));
4059
+ rb_define_const(mVpi,"VpiForInitStmt", INT2NUM(75));
4060
+ rb_define_const(mVpi,"VpiHighConn", INT2NUM(76));
4061
+ rb_define_const(mVpi,"VpiLhs", INT2NUM(77));
4062
+ rb_define_const(mVpi,"VpiIndex", INT2NUM(78));
4063
+ rb_define_const(mVpi,"VpiLeftRange", INT2NUM(79));
4064
+ rb_define_const(mVpi,"VpiLowConn", INT2NUM(80));
4065
+ rb_define_const(mVpi,"VpiParent", INT2NUM(81));
4066
+ rb_define_const(mVpi,"VpiRhs", INT2NUM(82));
4067
+ rb_define_const(mVpi,"VpiRightRange", INT2NUM(83));
4068
+ rb_define_const(mVpi,"VpiScope", INT2NUM(84));
4069
+ rb_define_const(mVpi,"VpiSysTfCall", INT2NUM(85));
4070
+ rb_define_const(mVpi,"VpiTchkDataTerm", INT2NUM(86));
4071
+ rb_define_const(mVpi,"VpiTchkNotifier", INT2NUM(87));
4072
+ rb_define_const(mVpi,"VpiTchkRefTerm", INT2NUM(88));
4073
+ rb_define_const(mVpi,"VpiArgument", INT2NUM(89));
4074
+ rb_define_const(mVpi,"VpiBit", INT2NUM(90));
4075
+ rb_define_const(mVpi,"VpiDriver", INT2NUM(91));
4076
+ rb_define_const(mVpi,"VpiInternalScope", INT2NUM(92));
4077
+ rb_define_const(mVpi,"VpiLoad", INT2NUM(93));
4078
+ rb_define_const(mVpi,"VpiModDataPathIn", INT2NUM(94));
4079
+ rb_define_const(mVpi,"VpiModPathIn", INT2NUM(95));
4080
+ rb_define_const(mVpi,"VpiModPathOut", INT2NUM(96));
4081
+ rb_define_const(mVpi,"VpiOperand", INT2NUM(97));
4082
+ rb_define_const(mVpi,"VpiPortInst", INT2NUM(98));
4083
+ rb_define_const(mVpi,"VpiProcess", INT2NUM(99));
4084
+ rb_define_const(mVpi,"VpiVariables", INT2NUM(100));
4085
+ rb_define_const(mVpi,"VpiUse", INT2NUM(101));
4086
+ rb_define_const(mVpi,"VpiExpr", INT2NUM(102));
4087
+ rb_define_const(mVpi,"VpiPrimitive", INT2NUM(103));
4088
+ rb_define_const(mVpi,"VpiStmt", INT2NUM(104));
4089
+ rb_define_const(mVpi,"VpiActiveTimeFormat", INT2NUM(119));
4090
+ rb_define_const(mVpi,"VpiInTerm", INT2NUM(120));
4091
+ rb_define_const(mVpi,"VpiInstanceArray", INT2NUM(121));
4092
+ rb_define_const(mVpi,"VpiLocalDriver", INT2NUM(122));
4093
+ rb_define_const(mVpi,"VpiLocalLoad", INT2NUM(123));
4094
+ rb_define_const(mVpi,"VpiOutTerm", INT2NUM(124));
4095
+ rb_define_const(mVpi,"VpiPorts", INT2NUM(125));
4096
+ rb_define_const(mVpi,"VpiSimNet", INT2NUM(126));
4097
+ rb_define_const(mVpi,"VpiTaskFunc", INT2NUM(127));
4098
+ rb_define_const(mVpi,"VpiBaseExpr", INT2NUM(131));
4099
+ rb_define_const(mVpi,"VpiWidthExpr", INT2NUM(132));
4100
+ rb_define_const(mVpi,"VpiUndefined", INT2NUM(-1));
4101
+ rb_define_const(mVpi,"VpiType", INT2NUM(1));
4102
+ rb_define_const(mVpi,"VpiName", INT2NUM(2));
4103
+ rb_define_const(mVpi,"VpiFullName", INT2NUM(3));
4104
+ rb_define_const(mVpi,"VpiSize", INT2NUM(4));
4105
+ rb_define_const(mVpi,"VpiFile", INT2NUM(5));
4106
+ rb_define_const(mVpi,"VpiLineNo", INT2NUM(6));
4107
+ rb_define_const(mVpi,"VpiTopModule", INT2NUM(7));
4108
+ rb_define_const(mVpi,"VpiCellInstance", INT2NUM(8));
4109
+ rb_define_const(mVpi,"VpiDefName", INT2NUM(9));
4110
+ rb_define_const(mVpi,"VpiProtected", INT2NUM(10));
4111
+ rb_define_const(mVpi,"VpiTimeUnit", INT2NUM(11));
4112
+ rb_define_const(mVpi,"VpiTimePrecision", INT2NUM(12));
4113
+ rb_define_const(mVpi,"VpiDefNetType", INT2NUM(13));
4114
+ rb_define_const(mVpi,"VpiUnconnDrive", INT2NUM(14));
4115
+ rb_define_const(mVpi,"VpiHighZ", INT2NUM(1));
4116
+ rb_define_const(mVpi,"VpiPull1", INT2NUM(2));
4117
+ rb_define_const(mVpi,"VpiPull0", INT2NUM(3));
4118
+ rb_define_const(mVpi,"VpiDefFile", INT2NUM(15));
4119
+ rb_define_const(mVpi,"VpiDefLineNo", INT2NUM(16));
4120
+ rb_define_const(mVpi,"VpiDefDelayMode", INT2NUM(47));
4121
+ rb_define_const(mVpi,"VpiDelayModeNone", INT2NUM(1));
4122
+ rb_define_const(mVpi,"VpiDelayModePath", INT2NUM(2));
4123
+ rb_define_const(mVpi,"VpiDelayModeDistrib", INT2NUM(3));
4124
+ rb_define_const(mVpi,"VpiDelayModeUnit", INT2NUM(4));
4125
+ rb_define_const(mVpi,"VpiDelayModeZero", INT2NUM(5));
4126
+ rb_define_const(mVpi,"VpiDelayModeMTM", INT2NUM(6));
4127
+ rb_define_const(mVpi,"VpiDefDecayTime", INT2NUM(48));
4128
+ rb_define_const(mVpi,"VpiScalar", INT2NUM(17));
4129
+ rb_define_const(mVpi,"VpiVector", INT2NUM(18));
4130
+ rb_define_const(mVpi,"VpiExplicitName", INT2NUM(19));
4131
+ rb_define_const(mVpi,"VpiDirection", INT2NUM(20));
4132
+ rb_define_const(mVpi,"VpiInput", INT2NUM(1));
4133
+ rb_define_const(mVpi,"VpiOutput", INT2NUM(2));
4134
+ rb_define_const(mVpi,"VpiInout", INT2NUM(3));
4135
+ rb_define_const(mVpi,"VpiMixedIO", INT2NUM(4));
4136
+ rb_define_const(mVpi,"VpiNoDirection", INT2NUM(5));
4137
+ rb_define_const(mVpi,"VpiConnByName", INT2NUM(21));
4138
+ rb_define_const(mVpi,"VpiNetType", INT2NUM(22));
4139
+ rb_define_const(mVpi,"VpiWire", INT2NUM(1));
4140
+ rb_define_const(mVpi,"VpiWand", INT2NUM(2));
4141
+ rb_define_const(mVpi,"VpiWor", INT2NUM(3));
4142
+ rb_define_const(mVpi,"VpiTri", INT2NUM(4));
4143
+ rb_define_const(mVpi,"VpiTri0", INT2NUM(5));
4144
+ rb_define_const(mVpi,"VpiTri1", INT2NUM(6));
4145
+ rb_define_const(mVpi,"VpiTriReg", INT2NUM(7));
4146
+ rb_define_const(mVpi,"VpiTriAnd", INT2NUM(8));
4147
+ rb_define_const(mVpi,"VpiTriOr", INT2NUM(9));
4148
+ rb_define_const(mVpi,"VpiSupply1", INT2NUM(10));
4149
+ rb_define_const(mVpi,"VpiSupply0", INT2NUM(11));
4150
+ rb_define_const(mVpi,"VpiNone", INT2NUM(12));
4151
+ rb_define_const(mVpi,"VpiUwire", INT2NUM(13));
4152
+ rb_define_const(mVpi,"VpiExplicitScalared", INT2NUM(23));
4153
+ rb_define_const(mVpi,"VpiExplicitVectored", INT2NUM(24));
4154
+ rb_define_const(mVpi,"VpiExpanded", INT2NUM(25));
4155
+ rb_define_const(mVpi,"VpiImplicitDecl", INT2NUM(26));
4156
+ rb_define_const(mVpi,"VpiChargeStrength", INT2NUM(27));
4157
+ rb_define_const(mVpi,"VpiArray", INT2NUM(28));
4158
+ rb_define_const(mVpi,"VpiPortIndex", INT2NUM(29));
4159
+ rb_define_const(mVpi,"VpiTermIndex", INT2NUM(30));
4160
+ rb_define_const(mVpi,"VpiStrength0", INT2NUM(31));
4161
+ rb_define_const(mVpi,"VpiStrength1", INT2NUM(32));
4162
+ rb_define_const(mVpi,"VpiPrimType", INT2NUM(33));
4163
+ rb_define_const(mVpi,"VpiAndPrim", INT2NUM(1));
4164
+ rb_define_const(mVpi,"VpiNandPrim", INT2NUM(2));
4165
+ rb_define_const(mVpi,"VpiNorPrim", INT2NUM(3));
4166
+ rb_define_const(mVpi,"VpiOrPrim", INT2NUM(4));
4167
+ rb_define_const(mVpi,"VpiXorPrim", INT2NUM(5));
4168
+ rb_define_const(mVpi,"VpiXnorPrim", INT2NUM(6));
4169
+ rb_define_const(mVpi,"VpiBufPrim", INT2NUM(7));
4170
+ rb_define_const(mVpi,"VpiNotPrim", INT2NUM(8));
4171
+ rb_define_const(mVpi,"VpiBufif0Prim", INT2NUM(9));
4172
+ rb_define_const(mVpi,"VpiBufif1Prim", INT2NUM(10));
4173
+ rb_define_const(mVpi,"VpiNotif0Prim", INT2NUM(11));
4174
+ rb_define_const(mVpi,"VpiNotif1Prim", INT2NUM(12));
4175
+ rb_define_const(mVpi,"VpiNmosPrim", INT2NUM(13));
4176
+ rb_define_const(mVpi,"VpiPmosPrim", INT2NUM(14));
4177
+ rb_define_const(mVpi,"VpiCmosPrim", INT2NUM(15));
4178
+ rb_define_const(mVpi,"VpiRnmosPrim", INT2NUM(16));
4179
+ rb_define_const(mVpi,"VpiRpmosPrim", INT2NUM(17));
4180
+ rb_define_const(mVpi,"VpiRcmosPrim", INT2NUM(18));
4181
+ rb_define_const(mVpi,"VpiRtranPrim", INT2NUM(19));
4182
+ rb_define_const(mVpi,"VpiRtranif0Prim", INT2NUM(20));
4183
+ rb_define_const(mVpi,"VpiRtranif1Prim", INT2NUM(21));
4184
+ rb_define_const(mVpi,"VpiTranPrim", INT2NUM(22));
4185
+ rb_define_const(mVpi,"VpiTranif0Prim", INT2NUM(23));
4186
+ rb_define_const(mVpi,"VpiTranif1Prim", INT2NUM(24));
4187
+ rb_define_const(mVpi,"VpiPullupPrim", INT2NUM(25));
4188
+ rb_define_const(mVpi,"VpiPulldownPrim", INT2NUM(26));
4189
+ rb_define_const(mVpi,"VpiSeqPrim", INT2NUM(27));
4190
+ rb_define_const(mVpi,"VpiCombPrim", INT2NUM(28));
4191
+ rb_define_const(mVpi,"VpiPolarity", INT2NUM(34));
4192
+ rb_define_const(mVpi,"VpiDataPolarity", INT2NUM(35));
4193
+ rb_define_const(mVpi,"VpiPositive", INT2NUM(1));
4194
+ rb_define_const(mVpi,"VpiNegative", INT2NUM(2));
4195
+ rb_define_const(mVpi,"VpiUnknown", INT2NUM(3));
4196
+ rb_define_const(mVpi,"VpiEdge", INT2NUM(36));
4197
+ rb_define_const(mVpi,"VpiNoEdge", INT2NUM(0x00));
4198
+ rb_define_const(mVpi,"VpiEdge01", INT2NUM(0x01));
4199
+ rb_define_const(mVpi,"VpiEdge10", INT2NUM(0x02));
4200
+ rb_define_const(mVpi,"VpiEdge0x", INT2NUM(0x04));
4201
+ rb_define_const(mVpi,"VpiEdgex1", INT2NUM(0x08));
4202
+ rb_define_const(mVpi,"VpiEdge1x", INT2NUM(0x10));
4203
+ rb_define_const(mVpi,"VpiEdgex0", INT2NUM(0x20));
4204
+ rb_define_const(mVpi,"VpiPosedge", INT2NUM((0x08|0x01|0x04)));
4205
+ rb_define_const(mVpi,"VpiNegedge", INT2NUM((0x20|0x02|0x10)));
4206
+ rb_define_const(mVpi,"VpiAnyEdge", INT2NUM(((0x08|0x01|0x04)|(0x20|0x02|0x10))));
4207
+ rb_define_const(mVpi,"VpiPathType", INT2NUM(37));
4208
+ rb_define_const(mVpi,"VpiPathFull", INT2NUM(1));
4209
+ rb_define_const(mVpi,"VpiPathParallel", INT2NUM(2));
4210
+ rb_define_const(mVpi,"VpiTchkType", INT2NUM(38));
4211
+ rb_define_const(mVpi,"VpiSetup", INT2NUM(1));
4212
+ rb_define_const(mVpi,"VpiHold", INT2NUM(2));
4213
+ rb_define_const(mVpi,"VpiPeriod", INT2NUM(3));
4214
+ rb_define_const(mVpi,"VpiWidth", INT2NUM(4));
4215
+ rb_define_const(mVpi,"VpiSkew", INT2NUM(5));
4216
+ rb_define_const(mVpi,"VpiRecovery", INT2NUM(6));
4217
+ rb_define_const(mVpi,"VpiNoChange", INT2NUM(7));
4218
+ rb_define_const(mVpi,"VpiSetupHold", INT2NUM(8));
4219
+ rb_define_const(mVpi,"VpiFullskew", INT2NUM(9));
4220
+ rb_define_const(mVpi,"VpiRecrem", INT2NUM(10));
4221
+ rb_define_const(mVpi,"VpiRemoval", INT2NUM(11));
4222
+ rb_define_const(mVpi,"VpiTimeskew", INT2NUM(12));
4223
+ rb_define_const(mVpi,"VpiOpType", INT2NUM(39));
4224
+ rb_define_const(mVpi,"VpiMinusOp", INT2NUM(1));
4225
+ rb_define_const(mVpi,"VpiPlusOp", INT2NUM(2));
4226
+ rb_define_const(mVpi,"VpiNotOp", INT2NUM(3));
4227
+ rb_define_const(mVpi,"VpiBitNegOp", INT2NUM(4));
4228
+ rb_define_const(mVpi,"VpiUnaryAndOp", INT2NUM(5));
4229
+ rb_define_const(mVpi,"VpiUnaryNandOp", INT2NUM(6));
4230
+ rb_define_const(mVpi,"VpiUnaryOrOp", INT2NUM(7));
4231
+ rb_define_const(mVpi,"VpiUnaryNorOp", INT2NUM(8));
4232
+ rb_define_const(mVpi,"VpiUnaryXorOp", INT2NUM(9));
4233
+ rb_define_const(mVpi,"VpiUnaryXNorOp", INT2NUM(10));
4234
+ rb_define_const(mVpi,"VpiSubOp", INT2NUM(11));
4235
+ rb_define_const(mVpi,"VpiDivOp", INT2NUM(12));
4236
+ rb_define_const(mVpi,"VpiModOp", INT2NUM(13));
4237
+ rb_define_const(mVpi,"VpiEqOp", INT2NUM(14));
4238
+ rb_define_const(mVpi,"VpiNeqOp", INT2NUM(15));
4239
+ rb_define_const(mVpi,"VpiCaseEqOp", INT2NUM(16));
4240
+ rb_define_const(mVpi,"VpiCaseNeqOp", INT2NUM(17));
4241
+ rb_define_const(mVpi,"VpiGtOp", INT2NUM(18));
4242
+ rb_define_const(mVpi,"VpiGeOp", INT2NUM(19));
4243
+ rb_define_const(mVpi,"VpiLtOp", INT2NUM(20));
4244
+ rb_define_const(mVpi,"VpiLeOp", INT2NUM(21));
4245
+ rb_define_const(mVpi,"VpiLShiftOp", INT2NUM(22));
4246
+ rb_define_const(mVpi,"VpiRShiftOp", INT2NUM(23));
4247
+ rb_define_const(mVpi,"VpiAddOp", INT2NUM(24));
4248
+ rb_define_const(mVpi,"VpiMultOp", INT2NUM(25));
4249
+ rb_define_const(mVpi,"VpiLogAndOp", INT2NUM(26));
4250
+ rb_define_const(mVpi,"VpiLogOrOp", INT2NUM(27));
4251
+ rb_define_const(mVpi,"VpiBitAndOp", INT2NUM(28));
4252
+ rb_define_const(mVpi,"VpiBitOrOp", INT2NUM(29));
4253
+ rb_define_const(mVpi,"VpiBitXorOp", INT2NUM(30));
4254
+ rb_define_const(mVpi,"VpiBitXNorOp", INT2NUM(31));
4255
+ rb_define_const(mVpi,"VpiBitXnorOp", INT2NUM(31));
4256
+ rb_define_const(mVpi,"VpiConditionOp", INT2NUM(32));
4257
+ rb_define_const(mVpi,"VpiConcatOp", INT2NUM(33));
4258
+ rb_define_const(mVpi,"VpiMultiConcatOp", INT2NUM(34));
4259
+ rb_define_const(mVpi,"VpiEventOrOp", INT2NUM(35));
4260
+ rb_define_const(mVpi,"VpiNullOp", INT2NUM(36));
4261
+ rb_define_const(mVpi,"VpiListOp", INT2NUM(37));
4262
+ rb_define_const(mVpi,"VpiMinTypMaxOp", INT2NUM(38));
4263
+ rb_define_const(mVpi,"VpiPosedgeOp", INT2NUM(39));
4264
+ rb_define_const(mVpi,"VpiNegedgeOp", INT2NUM(40));
4265
+ rb_define_const(mVpi,"VpiArithLShiftOp", INT2NUM(41));
4266
+ rb_define_const(mVpi,"VpiArithRShiftOp", INT2NUM(42));
4267
+ rb_define_const(mVpi,"VpiPowerOp", INT2NUM(43));
4268
+ rb_define_const(mVpi,"VpiConstType", INT2NUM(40));
4269
+ rb_define_const(mVpi,"VpiDecConst", INT2NUM(1));
4270
+ rb_define_const(mVpi,"VpiRealConst", INT2NUM(2));
4271
+ rb_define_const(mVpi,"VpiBinaryConst", INT2NUM(3));
4272
+ rb_define_const(mVpi,"VpiOctConst", INT2NUM(4));
4273
+ rb_define_const(mVpi,"VpiHexConst", INT2NUM(5));
4274
+ rb_define_const(mVpi,"VpiStringConst", INT2NUM(6));
4275
+ rb_define_const(mVpi,"VpiIntConst", INT2NUM(7));
4276
+ rb_define_const(mVpi,"VpiBlocking", INT2NUM(41));
4277
+ rb_define_const(mVpi,"VpiCaseType", INT2NUM(42));
4278
+ rb_define_const(mVpi,"VpiCaseExact", INT2NUM(1));
4279
+ rb_define_const(mVpi,"VpiCaseX", INT2NUM(2));
4280
+ rb_define_const(mVpi,"VpiCaseZ", INT2NUM(3));
4281
+ rb_define_const(mVpi,"VpiNetDeclAssign", INT2NUM(43));
4282
+ rb_define_const(mVpi,"VpiFuncType", INT2NUM(44));
4283
+ rb_define_const(mVpi,"VpiIntFunc", INT2NUM(1));
4284
+ rb_define_const(mVpi,"VpiRealFunc", INT2NUM(2));
4285
+ rb_define_const(mVpi,"VpiTimeFunc", INT2NUM(3));
4286
+ rb_define_const(mVpi,"VpiSizedFunc", INT2NUM(4));
4287
+ rb_define_const(mVpi,"VpiSizedSignedFunc", INT2NUM(5));
4288
+ rb_define_const(mVpi,"VpiSysFuncType", INT2NUM(44));
4289
+ rb_define_const(mVpi,"VpiSysFuncInt", INT2NUM(1));
4290
+ rb_define_const(mVpi,"VpiSysFuncReal", INT2NUM(2));
4291
+ rb_define_const(mVpi,"VpiSysFuncTime", INT2NUM(3));
4292
+ rb_define_const(mVpi,"VpiSysFuncSized", INT2NUM(4));
4293
+ rb_define_const(mVpi,"VpiUserDefn", INT2NUM(45));
4294
+ rb_define_const(mVpi,"VpiScheduled", INT2NUM(46));
4295
+ rb_define_const(mVpi,"VpiActive", INT2NUM(49));
4296
+ rb_define_const(mVpi,"VpiAutomatic", INT2NUM(50));
4297
+ rb_define_const(mVpi,"VpiCell", INT2NUM(51));
4298
+ rb_define_const(mVpi,"VpiConfig", INT2NUM(52));
4299
+ rb_define_const(mVpi,"VpiConstantSelect", INT2NUM(53));
4300
+ rb_define_const(mVpi,"VpiDecompile", INT2NUM(54));
4301
+ rb_define_const(mVpi,"VpiDefAttribute", INT2NUM(55));
4302
+ rb_define_const(mVpi,"VpiDelayType", INT2NUM(56));
4303
+ rb_define_const(mVpi,"VpiModPathDelay", INT2NUM(1));
4304
+ rb_define_const(mVpi,"VpiInterModPathDelay", INT2NUM(2));
4305
+ rb_define_const(mVpi,"VpiMIPDelay", INT2NUM(3));
4306
+ rb_define_const(mVpi,"VpiIteratorType", INT2NUM(57));
4307
+ rb_define_const(mVpi,"VpiLibrary", INT2NUM(58));
4308
+ rb_define_const(mVpi,"VpiMultiArray", INT2NUM(59));
4309
+ rb_define_const(mVpi,"VpiOffset", INT2NUM(60));
4310
+ rb_define_const(mVpi,"VpiResolvedNetType", INT2NUM(61));
4311
+ rb_define_const(mVpi,"VpiSaveRestartID", INT2NUM(62));
4312
+ rb_define_const(mVpi,"VpiSaveRestartLocation", INT2NUM(63));
4313
+ rb_define_const(mVpi,"VpiValid", INT2NUM(64));
4314
+ rb_define_const(mVpi,"VpiSigned", INT2NUM(65));
4315
+ rb_define_const(mVpi,"VpiLocalParam", INT2NUM(70));
4316
+ rb_define_const(mVpi,"VpiModPathHasIfNone", INT2NUM(71));
4317
+ rb_define_const(mVpi,"VpiIndexedPartSelectType", INT2NUM(72));
4318
+ rb_define_const(mVpi,"VpiPosIndexed", INT2NUM(1));
4319
+ rb_define_const(mVpi,"VpiNegIndexed", INT2NUM(2));
4320
+ rb_define_const(mVpi,"VpiIsMemory", INT2NUM(73));
4321
+ rb_define_const(mVpi,"VpiStop", INT2NUM(66));
4322
+ rb_define_const(mVpi,"VpiFinish", INT2NUM(67));
4323
+ rb_define_const(mVpi,"VpiReset", INT2NUM(68));
4324
+ rb_define_const(mVpi,"VpiSetInteractiveScope", INT2NUM(69));
4325
+ rb_define_const(mVpi,"VPI_MCD_STDOUT", INT2NUM(0x00000001));
4326
+
4327
+ cS_vpi_time.klass = rb_define_class_under(mVpi, "S_vpi_time", rb_cObject);
4328
+ SWIG_TypeClientData(SWIGTYPE_p_t_vpi_time, (void *) &cS_vpi_time);
4329
+ rb_define_alloc_func(cS_vpi_time.klass, _wrap_s_vpi_time_allocate);
4330
+ rb_define_method(cS_vpi_time.klass, "initialize", _wrap_new_s_vpi_time, -1);
4331
+ rb_define_method(cS_vpi_time.klass, "type=", _wrap_s_vpi_time_type_set, -1);
4332
+ rb_define_method(cS_vpi_time.klass, "type", _wrap_s_vpi_time_type_get, -1);
4333
+ rb_define_method(cS_vpi_time.klass, "high=", _wrap_s_vpi_time_high_set, -1);
4334
+ rb_define_method(cS_vpi_time.klass, "high", _wrap_s_vpi_time_high_get, -1);
4335
+ rb_define_method(cS_vpi_time.klass, "low=", _wrap_s_vpi_time_low_set, -1);
4336
+ rb_define_method(cS_vpi_time.klass, "low", _wrap_s_vpi_time_low_get, -1);
4337
+ rb_define_method(cS_vpi_time.klass, "real=", _wrap_s_vpi_time_real_set, -1);
4338
+ rb_define_method(cS_vpi_time.klass, "real", _wrap_s_vpi_time_real_get, -1);
4339
+ cS_vpi_time.mark = 0;
4340
+ cS_vpi_time.destroy = (void (*)(void *)) free_s_vpi_time;
4341
+ rb_define_const(mVpi,"VpiScaledRealTime", INT2NUM(1));
4342
+ rb_define_const(mVpi,"VpiSimTime", INT2NUM(2));
4343
+ rb_define_const(mVpi,"VpiSuppressTime", INT2NUM(3));
4344
+
4345
+ cS_vpi_delay.klass = rb_define_class_under(mVpi, "S_vpi_delay", rb_cObject);
4346
+ SWIG_TypeClientData(SWIGTYPE_p_t_vpi_delay, (void *) &cS_vpi_delay);
4347
+ rb_define_alloc_func(cS_vpi_delay.klass, _wrap_s_vpi_delay_allocate);
4348
+ rb_define_method(cS_vpi_delay.klass, "initialize", _wrap_new_s_vpi_delay, -1);
4349
+ rb_define_method(cS_vpi_delay.klass, "da=", _wrap_s_vpi_delay_da_set, -1);
4350
+ rb_define_method(cS_vpi_delay.klass, "da", _wrap_s_vpi_delay_da_get, -1);
4351
+ rb_define_method(cS_vpi_delay.klass, "no_of_delays=", _wrap_s_vpi_delay_no_of_delays_set, -1);
4352
+ rb_define_method(cS_vpi_delay.klass, "no_of_delays", _wrap_s_vpi_delay_no_of_delays_get, -1);
4353
+ rb_define_method(cS_vpi_delay.klass, "time_type=", _wrap_s_vpi_delay_time_type_set, -1);
4354
+ rb_define_method(cS_vpi_delay.klass, "time_type", _wrap_s_vpi_delay_time_type_get, -1);
4355
+ rb_define_method(cS_vpi_delay.klass, "mtm_flag=", _wrap_s_vpi_delay_mtm_flag_set, -1);
4356
+ rb_define_method(cS_vpi_delay.klass, "mtm_flag", _wrap_s_vpi_delay_mtm_flag_get, -1);
4357
+ rb_define_method(cS_vpi_delay.klass, "append_flag=", _wrap_s_vpi_delay_append_flag_set, -1);
4358
+ rb_define_method(cS_vpi_delay.klass, "append_flag", _wrap_s_vpi_delay_append_flag_get, -1);
4359
+ rb_define_method(cS_vpi_delay.klass, "pulsere_flag=", _wrap_s_vpi_delay_pulsere_flag_set, -1);
4360
+ rb_define_method(cS_vpi_delay.klass, "pulsere_flag", _wrap_s_vpi_delay_pulsere_flag_get, -1);
4361
+ cS_vpi_delay.mark = 0;
4362
+ cS_vpi_delay.destroy = (void (*)(void *)) free_s_vpi_delay;
4363
+
4364
+ cS_vpi_vecval.klass = rb_define_class_under(mVpi, "S_vpi_vecval", rb_cObject);
4365
+ SWIG_TypeClientData(SWIGTYPE_p_t_vpi_vecval, (void *) &cS_vpi_vecval);
4366
+ rb_define_alloc_func(cS_vpi_vecval.klass, _wrap_s_vpi_vecval_allocate);
4367
+ rb_define_method(cS_vpi_vecval.klass, "initialize", _wrap_new_s_vpi_vecval, -1);
4368
+ rb_define_method(cS_vpi_vecval.klass, "aval=", _wrap_s_vpi_vecval_aval_set, -1);
4369
+ rb_define_method(cS_vpi_vecval.klass, "aval", _wrap_s_vpi_vecval_aval_get, -1);
4370
+ rb_define_method(cS_vpi_vecval.klass, "bval=", _wrap_s_vpi_vecval_bval_set, -1);
4371
+ rb_define_method(cS_vpi_vecval.klass, "bval", _wrap_s_vpi_vecval_bval_get, -1);
4372
+ cS_vpi_vecval.mark = 0;
4373
+ cS_vpi_vecval.destroy = (void (*)(void *)) free_s_vpi_vecval;
4374
+
4375
+ cS_vpi_strengthval.klass = rb_define_class_under(mVpi, "S_vpi_strengthval", rb_cObject);
4376
+ SWIG_TypeClientData(SWIGTYPE_p_t_vpi_strengthval, (void *) &cS_vpi_strengthval);
4377
+ rb_define_alloc_func(cS_vpi_strengthval.klass, _wrap_s_vpi_strengthval_allocate);
4378
+ rb_define_method(cS_vpi_strengthval.klass, "initialize", _wrap_new_s_vpi_strengthval, -1);
4379
+ rb_define_method(cS_vpi_strengthval.klass, "logic=", _wrap_s_vpi_strengthval_logic_set, -1);
4380
+ rb_define_method(cS_vpi_strengthval.klass, "logic", _wrap_s_vpi_strengthval_logic_get, -1);
4381
+ rb_define_method(cS_vpi_strengthval.klass, "s0=", _wrap_s_vpi_strengthval_s0_set, -1);
4382
+ rb_define_method(cS_vpi_strengthval.klass, "s0", _wrap_s_vpi_strengthval_s0_get, -1);
4383
+ rb_define_method(cS_vpi_strengthval.klass, "s1=", _wrap_s_vpi_strengthval_s1_set, -1);
4384
+ rb_define_method(cS_vpi_strengthval.klass, "s1", _wrap_s_vpi_strengthval_s1_get, -1);
4385
+ cS_vpi_strengthval.mark = 0;
4386
+ cS_vpi_strengthval.destroy = (void (*)(void *)) free_s_vpi_strengthval;
4387
+ rb_define_const(mVpi,"VpiSupplyDrive", INT2NUM(0x80));
4388
+ rb_define_const(mVpi,"VpiStrongDrive", INT2NUM(0x40));
4389
+ rb_define_const(mVpi,"VpiPullDrive", INT2NUM(0x20));
4390
+ rb_define_const(mVpi,"VpiWeakDrive", INT2NUM(0x08));
4391
+ rb_define_const(mVpi,"VpiLargeCharge", INT2NUM(0x10));
4392
+ rb_define_const(mVpi,"VpiMediumCharge", INT2NUM(0x04));
4393
+ rb_define_const(mVpi,"VpiSmallCharge", INT2NUM(0x02));
4394
+ rb_define_const(mVpi,"VpiHiZ", INT2NUM(0x01));
4395
+
4396
+ cS_vpi_value.klass = rb_define_class_under(mVpi, "S_vpi_value", rb_cObject);
4397
+ SWIG_TypeClientData(SWIGTYPE_p_t_vpi_value, (void *) &cS_vpi_value);
4398
+ rb_define_alloc_func(cS_vpi_value.klass, _wrap_s_vpi_value_allocate);
4399
+ rb_define_method(cS_vpi_value.klass, "initialize", _wrap_new_s_vpi_value, -1);
4400
+ rb_define_method(cS_vpi_value.klass, "format=", _wrap_s_vpi_value_format_set, -1);
4401
+ rb_define_method(cS_vpi_value.klass, "format", _wrap_s_vpi_value_format_get, -1);
4402
+ rb_define_method(cS_vpi_value.klass, "value", _wrap_s_vpi_value_value_get, -1);
4403
+ cS_vpi_value.mark = 0;
4404
+ cS_vpi_value.destroy = (void (*)(void *)) free_s_vpi_value;
4405
+
4406
+ cS_vpi_value_value.klass = rb_define_class_under(mVpi, "S_vpi_value_value", rb_cObject);
4407
+ SWIG_TypeClientData(SWIGTYPE_p_s_vpi_value_value, (void *) &cS_vpi_value_value);
4408
+ rb_define_alloc_func(cS_vpi_value_value.klass, _wrap_s_vpi_value_value_allocate);
4409
+ rb_define_method(cS_vpi_value_value.klass, "initialize", _wrap_new_s_vpi_value_value, -1);
4410
+ rb_define_method(cS_vpi_value_value.klass, "str=", _wrap_s_vpi_value_value_str_set, -1);
4411
+ rb_define_method(cS_vpi_value_value.klass, "str", _wrap_s_vpi_value_value_str_get, -1);
4412
+ rb_define_method(cS_vpi_value_value.klass, "scalar=", _wrap_s_vpi_value_value_scalar_set, -1);
4413
+ rb_define_method(cS_vpi_value_value.klass, "scalar", _wrap_s_vpi_value_value_scalar_get, -1);
4414
+ rb_define_method(cS_vpi_value_value.klass, "integer=", _wrap_s_vpi_value_value_integer_set, -1);
4415
+ rb_define_method(cS_vpi_value_value.klass, "integer", _wrap_s_vpi_value_value_integer_get, -1);
4416
+ rb_define_method(cS_vpi_value_value.klass, "real=", _wrap_s_vpi_value_value_real_set, -1);
4417
+ rb_define_method(cS_vpi_value_value.klass, "real", _wrap_s_vpi_value_value_real_get, -1);
4418
+ rb_define_method(cS_vpi_value_value.klass, "time=", _wrap_s_vpi_value_value_time_set, -1);
4419
+ rb_define_method(cS_vpi_value_value.klass, "time", _wrap_s_vpi_value_value_time_get, -1);
4420
+ rb_define_method(cS_vpi_value_value.klass, "vector=", _wrap_s_vpi_value_value_vector_set, -1);
4421
+ rb_define_method(cS_vpi_value_value.klass, "vector", _wrap_s_vpi_value_value_vector_get, -1);
4422
+ rb_define_method(cS_vpi_value_value.klass, "strength=", _wrap_s_vpi_value_value_strength_set, -1);
4423
+ rb_define_method(cS_vpi_value_value.klass, "strength", _wrap_s_vpi_value_value_strength_get, -1);
4424
+ rb_define_method(cS_vpi_value_value.klass, "misc=", _wrap_s_vpi_value_value_misc_set, -1);
4425
+ rb_define_method(cS_vpi_value_value.klass, "misc", _wrap_s_vpi_value_value_misc_get, -1);
4426
+ cS_vpi_value_value.mark = 0;
4427
+ cS_vpi_value_value.destroy = (void (*)(void *)) free_s_vpi_value_value;
4428
+ rb_define_const(mVpi,"VpiBinStrVal", INT2NUM(1));
4429
+ rb_define_const(mVpi,"VpiOctStrVal", INT2NUM(2));
4430
+ rb_define_const(mVpi,"VpiDecStrVal", INT2NUM(3));
4431
+ rb_define_const(mVpi,"VpiHexStrVal", INT2NUM(4));
4432
+ rb_define_const(mVpi,"VpiScalarVal", INT2NUM(5));
4433
+ rb_define_const(mVpi,"VpiIntVal", INT2NUM(6));
4434
+ rb_define_const(mVpi,"VpiRealVal", INT2NUM(7));
4435
+ rb_define_const(mVpi,"VpiStringVal", INT2NUM(8));
4436
+ rb_define_const(mVpi,"VpiVectorVal", INT2NUM(9));
4437
+ rb_define_const(mVpi,"VpiStrengthVal", INT2NUM(10));
4438
+ rb_define_const(mVpi,"VpiTimeVal", INT2NUM(11));
4439
+ rb_define_const(mVpi,"VpiObjTypeVal", INT2NUM(12));
4440
+ rb_define_const(mVpi,"VpiSuppressVal", INT2NUM(13));
4441
+ rb_define_const(mVpi,"VpiNoDelay", INT2NUM(1));
4442
+ rb_define_const(mVpi,"VpiInertialDelay", INT2NUM(2));
4443
+ rb_define_const(mVpi,"VpiTransportDelay", INT2NUM(3));
4444
+ rb_define_const(mVpi,"VpiPureTransportDelay", INT2NUM(4));
4445
+ rb_define_const(mVpi,"VpiForceFlag", INT2NUM(5));
4446
+ rb_define_const(mVpi,"VpiReleaseFlag", INT2NUM(6));
4447
+ rb_define_const(mVpi,"VpiCancelEvent", INT2NUM(7));
4448
+ rb_define_const(mVpi,"VpiReturnEvent", INT2NUM(0x1000));
4449
+ rb_define_const(mVpi,"Vpi0", INT2NUM(0));
4450
+ rb_define_const(mVpi,"Vpi1", INT2NUM(1));
4451
+ rb_define_const(mVpi,"VpiZ", INT2NUM(2));
4452
+ rb_define_const(mVpi,"VpiX", INT2NUM(3));
4453
+ rb_define_const(mVpi,"VpiH", INT2NUM(4));
4454
+ rb_define_const(mVpi,"VpiL", INT2NUM(5));
4455
+ rb_define_const(mVpi,"VpiDontCare", INT2NUM(6));
4456
+
4457
+ cS_vpi_systf_data.klass = rb_define_class_under(mVpi, "S_vpi_systf_data", rb_cObject);
4458
+ SWIG_TypeClientData(SWIGTYPE_p_t_vpi_systf_data, (void *) &cS_vpi_systf_data);
4459
+ rb_define_alloc_func(cS_vpi_systf_data.klass, _wrap_s_vpi_systf_data_allocate);
4460
+ rb_define_method(cS_vpi_systf_data.klass, "initialize", _wrap_new_s_vpi_systf_data, -1);
4461
+ rb_define_method(cS_vpi_systf_data.klass, "type=", _wrap_s_vpi_systf_data_type_set, -1);
4462
+ rb_define_method(cS_vpi_systf_data.klass, "type", _wrap_s_vpi_systf_data_type_get, -1);
4463
+ rb_define_method(cS_vpi_systf_data.klass, "sysfunctype=", _wrap_s_vpi_systf_data_sysfunctype_set, -1);
4464
+ rb_define_method(cS_vpi_systf_data.klass, "sysfunctype", _wrap_s_vpi_systf_data_sysfunctype_get, -1);
4465
+ rb_define_method(cS_vpi_systf_data.klass, "tfname=", _wrap_s_vpi_systf_data_tfname_set, -1);
4466
+ rb_define_method(cS_vpi_systf_data.klass, "tfname", _wrap_s_vpi_systf_data_tfname_get, -1);
4467
+ rb_define_method(cS_vpi_systf_data.klass, "calltf=", _wrap_s_vpi_systf_data_calltf_set, -1);
4468
+ rb_define_method(cS_vpi_systf_data.klass, "calltf", _wrap_s_vpi_systf_data_calltf_get, -1);
4469
+ rb_define_method(cS_vpi_systf_data.klass, "compiletf=", _wrap_s_vpi_systf_data_compiletf_set, -1);
4470
+ rb_define_method(cS_vpi_systf_data.klass, "compiletf", _wrap_s_vpi_systf_data_compiletf_get, -1);
4471
+ rb_define_method(cS_vpi_systf_data.klass, "sizetf=", _wrap_s_vpi_systf_data_sizetf_set, -1);
4472
+ rb_define_method(cS_vpi_systf_data.klass, "sizetf", _wrap_s_vpi_systf_data_sizetf_get, -1);
4473
+ rb_define_method(cS_vpi_systf_data.klass, "user_data=", _wrap_s_vpi_systf_data_user_data_set, -1);
4474
+ rb_define_method(cS_vpi_systf_data.klass, "user_data", _wrap_s_vpi_systf_data_user_data_get, -1);
4475
+ cS_vpi_systf_data.mark = 0;
4476
+ cS_vpi_systf_data.destroy = (void (*)(void *)) free_s_vpi_systf_data;
4477
+ rb_define_const(mVpi,"VpiSysTask", INT2NUM(1));
4478
+ rb_define_const(mVpi,"VpiSysFunc", INT2NUM(2));
4479
+
4480
+ cS_vpi_vlog_info.klass = rb_define_class_under(mVpi, "S_vpi_vlog_info", rb_cObject);
4481
+ SWIG_TypeClientData(SWIGTYPE_p_t_vpi_vlog_info, (void *) &cS_vpi_vlog_info);
4482
+ rb_define_alloc_func(cS_vpi_vlog_info.klass, _wrap_s_vpi_vlog_info_allocate);
4483
+ rb_define_method(cS_vpi_vlog_info.klass, "initialize", _wrap_new_s_vpi_vlog_info, -1);
4484
+ rb_define_method(cS_vpi_vlog_info.klass, "argc=", _wrap_s_vpi_vlog_info_argc_set, -1);
4485
+ rb_define_method(cS_vpi_vlog_info.klass, "argc", _wrap_s_vpi_vlog_info_argc_get, -1);
4486
+ rb_define_method(cS_vpi_vlog_info.klass, "argv=", _wrap_s_vpi_vlog_info_argv_set, -1);
4487
+ rb_define_method(cS_vpi_vlog_info.klass, "argv", _wrap_s_vpi_vlog_info_argv_get, -1);
4488
+ rb_define_method(cS_vpi_vlog_info.klass, "product=", _wrap_s_vpi_vlog_info_product_set, -1);
4489
+ rb_define_method(cS_vpi_vlog_info.klass, "product", _wrap_s_vpi_vlog_info_product_get, -1);
4490
+ rb_define_method(cS_vpi_vlog_info.klass, "version=", _wrap_s_vpi_vlog_info_version_set, -1);
4491
+ rb_define_method(cS_vpi_vlog_info.klass, "version", _wrap_s_vpi_vlog_info_version_get, -1);
4492
+ cS_vpi_vlog_info.mark = 0;
4493
+ cS_vpi_vlog_info.destroy = (void (*)(void *)) free_s_vpi_vlog_info;
4494
+
4495
+ cS_vpi_error_info.klass = rb_define_class_under(mVpi, "S_vpi_error_info", rb_cObject);
4496
+ SWIG_TypeClientData(SWIGTYPE_p_t_vpi_error_info, (void *) &cS_vpi_error_info);
4497
+ rb_define_alloc_func(cS_vpi_error_info.klass, _wrap_s_vpi_error_info_allocate);
4498
+ rb_define_method(cS_vpi_error_info.klass, "initialize", _wrap_new_s_vpi_error_info, -1);
4499
+ rb_define_method(cS_vpi_error_info.klass, "state=", _wrap_s_vpi_error_info_state_set, -1);
4500
+ rb_define_method(cS_vpi_error_info.klass, "state", _wrap_s_vpi_error_info_state_get, -1);
4501
+ rb_define_method(cS_vpi_error_info.klass, "level=", _wrap_s_vpi_error_info_level_set, -1);
4502
+ rb_define_method(cS_vpi_error_info.klass, "level", _wrap_s_vpi_error_info_level_get, -1);
4503
+ rb_define_method(cS_vpi_error_info.klass, "message=", _wrap_s_vpi_error_info_message_set, -1);
4504
+ rb_define_method(cS_vpi_error_info.klass, "message", _wrap_s_vpi_error_info_message_get, -1);
4505
+ rb_define_method(cS_vpi_error_info.klass, "product=", _wrap_s_vpi_error_info_product_set, -1);
4506
+ rb_define_method(cS_vpi_error_info.klass, "product", _wrap_s_vpi_error_info_product_get, -1);
4507
+ rb_define_method(cS_vpi_error_info.klass, "code=", _wrap_s_vpi_error_info_code_set, -1);
4508
+ rb_define_method(cS_vpi_error_info.klass, "code", _wrap_s_vpi_error_info_code_get, -1);
4509
+ rb_define_method(cS_vpi_error_info.klass, "file=", _wrap_s_vpi_error_info_file_set, -1);
4510
+ rb_define_method(cS_vpi_error_info.klass, "file", _wrap_s_vpi_error_info_file_get, -1);
4511
+ rb_define_method(cS_vpi_error_info.klass, "line=", _wrap_s_vpi_error_info_line_set, -1);
4512
+ rb_define_method(cS_vpi_error_info.klass, "line", _wrap_s_vpi_error_info_line_get, -1);
4513
+ cS_vpi_error_info.mark = 0;
4514
+ cS_vpi_error_info.destroy = (void (*)(void *)) free_s_vpi_error_info;
4515
+ rb_define_const(mVpi,"VpiCompile", INT2NUM(1));
4516
+ rb_define_const(mVpi,"VpiPLI", INT2NUM(2));
4517
+ rb_define_const(mVpi,"VpiRun", INT2NUM(3));
4518
+ rb_define_const(mVpi,"VpiNotice", INT2NUM(1));
4519
+ rb_define_const(mVpi,"VpiWarning", INT2NUM(2));
4520
+ rb_define_const(mVpi,"VpiError", INT2NUM(3));
4521
+ rb_define_const(mVpi,"VpiSystem", INT2NUM(4));
4522
+ rb_define_const(mVpi,"VpiInternal", INT2NUM(5));
4523
+
4524
+ cS_cb_data.klass = rb_define_class_under(mVpi, "S_cb_data", rb_cObject);
4525
+ SWIG_TypeClientData(SWIGTYPE_p_t_cb_data, (void *) &cS_cb_data);
4526
+ rb_define_alloc_func(cS_cb_data.klass, _wrap_s_cb_data_allocate);
4527
+ rb_define_method(cS_cb_data.klass, "initialize", _wrap_new_s_cb_data, -1);
4528
+ rb_define_method(cS_cb_data.klass, "reason=", _wrap_s_cb_data_reason_set, -1);
4529
+ rb_define_method(cS_cb_data.klass, "reason", _wrap_s_cb_data_reason_get, -1);
4530
+ rb_define_method(cS_cb_data.klass, "cb_rtn=", _wrap_s_cb_data_cb_rtn_set, -1);
4531
+ rb_define_method(cS_cb_data.klass, "cb_rtn", _wrap_s_cb_data_cb_rtn_get, -1);
4532
+ rb_define_method(cS_cb_data.klass, "obj=", _wrap_s_cb_data_obj_set, -1);
4533
+ rb_define_method(cS_cb_data.klass, "obj", _wrap_s_cb_data_obj_get, -1);
4534
+ rb_define_method(cS_cb_data.klass, "time=", _wrap_s_cb_data_time_set, -1);
4535
+ rb_define_method(cS_cb_data.klass, "time", _wrap_s_cb_data_time_get, -1);
4536
+ rb_define_method(cS_cb_data.klass, "value=", _wrap_s_cb_data_value_set, -1);
4537
+ rb_define_method(cS_cb_data.klass, "value", _wrap_s_cb_data_value_get, -1);
4538
+ rb_define_method(cS_cb_data.klass, "index=", _wrap_s_cb_data_index_set, -1);
4539
+ rb_define_method(cS_cb_data.klass, "index", _wrap_s_cb_data_index_get, -1);
4540
+ rb_define_method(cS_cb_data.klass, "user_data=", _wrap_s_cb_data_user_data_set, -1);
4541
+ rb_define_method(cS_cb_data.klass, "user_data", _wrap_s_cb_data_user_data_get, -1);
4542
+ cS_cb_data.mark = 0;
4543
+ cS_cb_data.destroy = (void (*)(void *)) free_s_cb_data;
4544
+ rb_define_const(mVpi,"CbValueChange", INT2NUM(1));
4545
+ rb_define_const(mVpi,"CbStmt", INT2NUM(2));
4546
+ rb_define_const(mVpi,"CbForce", INT2NUM(3));
4547
+ rb_define_const(mVpi,"CbRelease", INT2NUM(4));
4548
+ rb_define_const(mVpi,"CbAtStartOfSimTime", INT2NUM(5));
4549
+ rb_define_const(mVpi,"CbReadWriteSynch", INT2NUM(6));
4550
+ rb_define_const(mVpi,"CbReadOnlySynch", INT2NUM(7));
4551
+ rb_define_const(mVpi,"CbNextSimTime", INT2NUM(8));
4552
+ rb_define_const(mVpi,"CbAfterDelay", INT2NUM(9));
4553
+ rb_define_const(mVpi,"CbEndOfCompile", INT2NUM(10));
4554
+ rb_define_const(mVpi,"CbStartOfSimulation", INT2NUM(11));
4555
+ rb_define_const(mVpi,"CbEndOfSimulation", INT2NUM(12));
4556
+ rb_define_const(mVpi,"CbError", INT2NUM(13));
4557
+ rb_define_const(mVpi,"CbTchkViolation", INT2NUM(14));
4558
+ rb_define_const(mVpi,"CbStartOfSave", INT2NUM(15));
4559
+ rb_define_const(mVpi,"CbEndOfSave", INT2NUM(16));
4560
+ rb_define_const(mVpi,"CbStartOfRestart", INT2NUM(17));
4561
+ rb_define_const(mVpi,"CbEndOfRestart", INT2NUM(18));
4562
+ rb_define_const(mVpi,"CbStartOfReset", INT2NUM(19));
4563
+ rb_define_const(mVpi,"CbEndOfReset", INT2NUM(20));
4564
+ rb_define_const(mVpi,"CbEnterInteractive", INT2NUM(21));
4565
+ rb_define_const(mVpi,"CbExitInteractive", INT2NUM(22));
4566
+ rb_define_const(mVpi,"CbInteractiveScopeChange", INT2NUM(23));
4567
+ rb_define_const(mVpi,"CbUnresolvedSystf", INT2NUM(24));
4568
+ rb_define_const(mVpi,"CbAssign", INT2NUM(25));
4569
+ rb_define_const(mVpi,"CbDeassign", INT2NUM(26));
4570
+ rb_define_const(mVpi,"CbDisable", INT2NUM(27));
4571
+ rb_define_const(mVpi,"CbPLIError", INT2NUM(28));
4572
+ rb_define_const(mVpi,"CbSignal", INT2NUM(29));
4573
+ rb_define_module_function(mVpi, "vpi_register_cb", _wrap_vpi_register_cb, -1);
4574
+ rb_define_module_function(mVpi, "vpi_remove_cb", _wrap_vpi_remove_cb, -1);
4575
+ rb_define_module_function(mVpi, "vpi_get_cb_info", _wrap_vpi_get_cb_info, -1);
4576
+ rb_define_module_function(mVpi, "vpi_register_systf", _wrap_vpi_register_systf, -1);
4577
+ rb_define_module_function(mVpi, "vpi_get_systf_info", _wrap_vpi_get_systf_info, -1);
4578
+ rb_define_module_function(mVpi, "vpi_handle_by_name", _wrap_vpi_handle_by_name, -1);
4579
+ rb_define_module_function(mVpi, "vpi_handle_by_index", _wrap_vpi_handle_by_index, -1);
4580
+ rb_define_module_function(mVpi, "vpi_handle", _wrap_vpi_handle, -1);
4581
+ rb_define_module_function(mVpi, "vpi_handle_multi", _wrap_vpi_handle_multi, -1);
4582
+ rb_define_module_function(mVpi, "vpi_iterate", _wrap_vpi_iterate, -1);
4583
+ rb_define_module_function(mVpi, "vpi_scan", _wrap_vpi_scan, -1);
4584
+ rb_define_module_function(mVpi, "vpi_get", _wrap_vpi_get, -1);
4585
+ rb_define_module_function(mVpi, "vpi_get_str", _wrap_vpi_get_str, -1);
4586
+ rb_define_module_function(mVpi, "vpi_get_delays", _wrap_vpi_get_delays, -1);
4587
+ rb_define_module_function(mVpi, "vpi_put_delays", _wrap_vpi_put_delays, -1);
4588
+ rb_define_module_function(mVpi, "vpi_get_value", _wrap_vpi_get_value, -1);
4589
+ rb_define_module_function(mVpi, "vpi_put_value", _wrap_vpi_put_value, -1);
4590
+ rb_define_module_function(mVpi, "vpi_get_time", _wrap_vpi_get_time, -1);
4591
+ rb_define_module_function(mVpi, "vpi_mcd_open", _wrap_vpi_mcd_open, -1);
4592
+ rb_define_module_function(mVpi, "vpi_mcd_close", _wrap_vpi_mcd_close, -1);
4593
+ rb_define_module_function(mVpi, "vpi_mcd_name", _wrap_vpi_mcd_name, -1);
4594
+ rb_define_module_function(mVpi, "vpi_mcd_printf", _wrap_vpi_mcd_printf, -1);
4595
+ rb_define_module_function(mVpi, "vpi_printf", _wrap_vpi_printf, -1);
4596
+ rb_define_module_function(mVpi, "vpi_compare_objects", _wrap_vpi_compare_objects, -1);
4597
+ rb_define_module_function(mVpi, "vpi_chk_error", _wrap_vpi_chk_error, -1);
4598
+ rb_define_module_function(mVpi, "vpi_free_object", _wrap_vpi_free_object, -1);
4599
+ rb_define_module_function(mVpi, "vpi_get_vlog_info", _wrap_vpi_get_vlog_info, -1);
4600
+ rb_define_module_function(mVpi, "vpi_get_data", _wrap_vpi_get_data, -1);
4601
+ rb_define_module_function(mVpi, "vpi_put_data", _wrap_vpi_put_data, -1);
4602
+ rb_define_module_function(mVpi, "vpi_get_userdata", _wrap_vpi_get_userdata, -1);
4603
+ rb_define_module_function(mVpi, "vpi_put_userdata", _wrap_vpi_put_userdata, -1);
4604
+ rb_define_module_function(mVpi, "vpi_vprintf", _wrap_vpi_vprintf, -1);
4605
+ rb_define_module_function(mVpi, "vpi_mcd_vprintf", _wrap_vpi_mcd_vprintf, -1);
4606
+ rb_define_module_function(mVpi, "vpi_flush", _wrap_vpi_flush, -1);
4607
+ rb_define_module_function(mVpi, "vpi_mcd_flush", _wrap_vpi_mcd_flush, -1);
4608
+ rb_define_module_function(mVpi, "vpi_control", _wrap_vpi_control, -1);
4609
+ rb_define_module_function(mVpi, "vpi_handle_by_multi_index", _wrap_vpi_handle_by_multi_index, -1);
4610
+ rb_define_singleton_method(mVpi, "vlog_startup_routines", vlog_startup_routines_get, 0);
4611
+ rb_define_singleton_method(mVpi, "vlog_startup_routines=", vlog_startup_routines_set, 1);
4612
+ }
4613
+