rggen-systemverilog 0.15.0 → 0.20.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (64) hide show
  1. checksums.yaml +4 -4
  2. data/LICENSE +1 -1
  3. data/README.md +2 -2
  4. data/lib/rggen/systemverilog/common.rb +2 -2
  5. data/lib/rggen/systemverilog/common/component.rb +2 -6
  6. data/lib/rggen/systemverilog/common/feature.rb +39 -26
  7. data/lib/rggen/systemverilog/common/utility.rb +9 -4
  8. data/lib/rggen/systemverilog/common/utility/data_object.rb +2 -2
  9. data/lib/rggen/systemverilog/common/utility/interface_instance.rb +2 -2
  10. data/lib/rggen/systemverilog/common/utility/interface_port.rb +9 -5
  11. data/lib/rggen/systemverilog/common/utility/local_scope.rb +8 -2
  12. data/lib/rggen/systemverilog/common/utility/structure_definition.rb +3 -5
  13. data/lib/rggen/systemverilog/ral.rb +4 -1
  14. data/lib/rggen/systemverilog/ral/bit_field/type.rb +23 -19
  15. data/lib/rggen/systemverilog/ral/bit_field/type/rwe_rwl.rb +1 -11
  16. data/lib/rggen/systemverilog/ral/feature.rb +5 -7
  17. data/lib/rggen/systemverilog/ral/register/type.rb +12 -54
  18. data/lib/rggen/systemverilog/ral/register/type/default.erb +1 -1
  19. data/lib/rggen/systemverilog/ral/register/type/external.rb +6 -10
  20. data/lib/rggen/systemverilog/ral/register/type/indirect.erb +3 -3
  21. data/lib/rggen/systemverilog/ral/register/type/indirect.rb +5 -2
  22. data/lib/rggen/systemverilog/ral/register_block/sv_ral_model.erb +8 -0
  23. data/lib/rggen/systemverilog/ral/register_block/sv_ral_model.rb +36 -0
  24. data/lib/rggen/systemverilog/ral/register_block/sv_ral_package.rb +1 -32
  25. data/lib/rggen/systemverilog/ral/register_common.rb +46 -0
  26. data/lib/rggen/systemverilog/ral/register_file/sv_ral_model.erb +8 -0
  27. data/lib/rggen/systemverilog/ral/register_file/sv_ral_model.rb +57 -0
  28. data/lib/rggen/systemverilog/ral/setup.rb +2 -1
  29. data/lib/rggen/systemverilog/rtl.rb +5 -2
  30. data/lib/rggen/systemverilog/rtl/bit_field/sv_rtl_top.rb +92 -16
  31. data/lib/rggen/systemverilog/rtl/bit_field/type.rb +5 -8
  32. data/lib/rggen/systemverilog/rtl/bit_field/type/rc_w0c_w1c.rb +3 -3
  33. data/lib/rggen/systemverilog/rtl/bit_field/type/ro.rb +1 -1
  34. data/lib/rggen/systemverilog/rtl/bit_field/type/rs_w0s_w1s.rb +2 -2
  35. data/lib/rggen/systemverilog/rtl/bit_field/type/{rw_wo.erb → rw_w1_wo_wo1.erb} +4 -2
  36. data/lib/rggen/systemverilog/rtl/bit_field/type/rw_w1_wo_wo1.rb +24 -0
  37. data/lib/rggen/systemverilog/rtl/bit_field/type/rwc.rb +2 -2
  38. data/lib/rggen/systemverilog/rtl/bit_field/type/rwe.rb +2 -2
  39. data/lib/rggen/systemverilog/rtl/bit_field/type/rwl.rb +2 -2
  40. data/lib/rggen/systemverilog/rtl/bit_field/type/rws.rb +3 -3
  41. data/lib/rggen/systemverilog/rtl/bit_field/type/w0crs_w1crs.rb +1 -1
  42. data/lib/rggen/systemverilog/rtl/bit_field/type/w0src_w1src.rb +1 -1
  43. data/lib/rggen/systemverilog/rtl/bit_field/type/w0trg_w1trg.rb +1 -1
  44. data/lib/rggen/systemverilog/rtl/feature.rb +13 -16
  45. data/lib/rggen/systemverilog/rtl/global/array_port_format.rb +2 -0
  46. data/lib/rggen/systemverilog/rtl/global/fold_sv_interface_port.rb +4 -0
  47. data/lib/rggen/systemverilog/rtl/partial_sum.rb +29 -0
  48. data/lib/rggen/systemverilog/rtl/register/sv_rtl_top.rb +10 -47
  49. data/lib/rggen/systemverilog/rtl/register/type.rb +30 -5
  50. data/lib/rggen/systemverilog/rtl/register/type/external.rb +15 -15
  51. data/lib/rggen/systemverilog/rtl/register/type/indirect.rb +3 -3
  52. data/lib/rggen/systemverilog/rtl/register_block/protocol.rb +14 -4
  53. data/lib/rggen/systemverilog/rtl/register_block/protocol/apb.erb +5 -3
  54. data/lib/rggen/systemverilog/rtl/register_block/protocol/apb.rb +14 -15
  55. data/lib/rggen/systemverilog/rtl/register_block/protocol/axi4lite.erb +6 -4
  56. data/lib/rggen/systemverilog/rtl/register_block/protocol/axi4lite.rb +23 -25
  57. data/lib/rggen/systemverilog/rtl/register_block/sv_rtl_top.rb +11 -13
  58. data/lib/rggen/systemverilog/rtl/register_file/sv_rtl_top.rb +30 -0
  59. data/lib/rggen/systemverilog/rtl/register_index.rb +112 -0
  60. data/lib/rggen/systemverilog/rtl/setup.rb +1 -0
  61. data/lib/rggen/systemverilog/version.rb +1 -1
  62. metadata +16 -9
  63. data/lib/rggen/systemverilog/ral/register_block/sv_ral_block_model.erb +0 -11
  64. data/lib/rggen/systemverilog/rtl/bit_field/type/rw_wo.rb +0 -14
@@ -0,0 +1,29 @@
1
+ # frozen_string_literal: true
2
+
3
+ module RgGen
4
+ module SystemVerilog
5
+ module RTL
6
+ module PartialSum
7
+ private
8
+
9
+ def partial_sums(operands)
10
+ sums =
11
+ operands
12
+ .chunk(&method(:integer?))
13
+ .flat_map(&method(:calc_partial_sum))
14
+ .reject { |value| integer?(value) && value.zero? }
15
+ sums.empty? && [0] || sums
16
+ end
17
+
18
+ def calc_partial_sum(kind_ans_values)
19
+ kind, values = kind_ans_values
20
+ kind && values.sum || values
21
+ end
22
+
23
+ def integer?(value)
24
+ value.is_a?(Integer)
25
+ end
26
+ end
27
+ end
28
+ end
29
+ end
@@ -2,18 +2,11 @@
2
2
 
3
3
  RgGen.define_simple_feature(:register, :sv_rtl_top) do
4
4
  sv_rtl do
5
- export :index
6
- export :local_index
7
- export :loop_variables
8
-
9
- pre_build do
10
- @base_index =
11
- register_block.registers.map(&:count).inject(0, :+)
12
- end
5
+ include RgGen::SystemVerilog::RTL::RegisterIndex
13
6
 
14
7
  build do
15
- if register.bit_fields?
16
- interface :register, :bit_field_if, {
8
+ unless register.bit_fields.empty?
9
+ interface :bit_field_if, {
17
10
  name: 'bit_field_if',
18
11
  interface_type: 'rggen_bit_field_if',
19
12
  parameter_values: [register.width]
@@ -21,62 +14,32 @@ RgGen.define_simple_feature(:register, :sv_rtl_top) do
21
14
  end
22
15
  end
23
16
 
24
- main_code :register_block do
17
+ main_code :register_file do
25
18
  local_scope("g_#{register.name}") do |scope|
26
- scope.top_scope
19
+ scope.top_scope top_scope?
27
20
  scope.loop_size loop_size
28
21
  scope.variables variables
29
22
  scope.body(&method(:body_code))
30
23
  end
31
24
  end
32
25
 
33
- def index(offset = nil)
34
- operands =
35
- register.array? ? [@base_index, offset || local_index] : [@base_index]
36
- if operands.all? { |operand| operand.is_a?(Integer) }
37
- operands.inject(:+)
38
- else
39
- operands.join('+')
40
- end
41
- end
42
-
43
- def local_index
44
- (register.array? || nil) &&
45
- loop_variables
46
- .zip(local_index_coefficients)
47
- .map { |v, c| [c, v].compact.join('*') }
48
- .join('+')
49
- end
50
-
51
- def loop_variables
52
- (register.array? || nil) &&
53
- register.array_size.map.with_index(1) do |_size, i|
54
- create_identifier(loop_index(i))
55
- end
56
- end
57
-
58
26
  private
59
27
 
60
- def local_index_coefficients
61
- coefficients = []
62
- register.array_size.reverse.inject(1) do |total, size|
63
- coefficients.unshift(coefficients.size.zero? ? nil : total)
64
- total * size
65
- end
66
- coefficients
28
+ def top_scope?
29
+ register_file.nil?
67
30
  end
68
31
 
69
32
  def loop_size
70
33
  (register.array? || nil) &&
71
- loop_variables.zip(register.array_size).to_h
34
+ local_loop_variables.zip(register.array_size).to_h
72
35
  end
73
36
 
74
37
  def variables
75
- register.declarations(:register, :variable)
38
+ register.declarations[:variable]
76
39
  end
77
40
 
78
41
  def body_code(code)
79
- register.generate_code(:register, :top_down, code)
42
+ register.generate_code(code, :register, :top_down)
80
43
  end
81
44
  end
82
45
  end
@@ -3,6 +3,8 @@
3
3
  RgGen.define_list_feature(:register, :type) do
4
4
  sv_rtl do
5
5
  base_feature do
6
+ include RgGen::SystemVerilog::RTL::PartialSum
7
+
6
8
  private
7
9
 
8
10
  def readable
@@ -22,7 +24,29 @@ RgGen.define_list_feature(:register, :type) do
22
24
  end
23
25
 
24
26
  def offset_address
25
- hex(register.offset_address, address_width)
27
+ offsets = [*register_files, register].flat_map(&method(:collect_offsets))
28
+ offsets = partial_sums(offsets)
29
+ format_offsets(offsets)
30
+ end
31
+
32
+ def collect_offsets(component)
33
+ if component.register_file? && component.array?
34
+ [component.offset_address, byte_offset(component)]
35
+ else
36
+ component.offset_address
37
+ end
38
+ end
39
+
40
+ def byte_offset(component)
41
+ "#{component.byte_size(false)}*(#{component.local_index})"
42
+ end
43
+
44
+ def format_offsets(offsets)
45
+ offsets.map(&method(:format_offset)).join('+')
46
+ end
47
+
48
+ def format_offset(offset)
49
+ offset.is_a?(Integer) ? hex(offset, address_width) : offset
26
50
  end
27
51
 
28
52
  def width
@@ -53,10 +77,11 @@ RgGen.define_list_feature(:register, :type) do
53
77
  end
54
78
 
55
79
  factory do
56
- def select_feature(_configuration, register)
57
- target_features[register.type] ||
58
- unless register.type == :default
59
- error "code generator for #{register.type} register type " \
80
+ def target_feature_key(_configuration, register)
81
+ type = register.type
82
+ (target_features.key?(type) || type == :default) && type ||
83
+ begin
84
+ error "code generator for #{type} register type " \
60
85
  'is not implemented'
61
86
  end
62
87
  end
@@ -4,49 +4,49 @@ RgGen.define_list_item_feature(:register, :type, :external) do
4
4
  sv_rtl do
5
5
  build do
6
6
  if configuration.fold_sv_interface_port?
7
- interface_port :register_block, :bus_if, {
7
+ interface_port :bus_if, {
8
8
  name: "#{register.name}_bus_if",
9
9
  interface_type: 'rggen_bus_if',
10
10
  modport: 'master'
11
11
  }
12
12
  else
13
- output :register_block, :valid, {
13
+ output :valid, {
14
14
  name: "o_#{register.name}_valid",
15
15
  data_type: :logic, width: 1
16
16
  }
17
- output :register_block, :address, {
17
+ output :access, {
18
+ name: "o_#{register.name}_access",
19
+ data_type: :logic, width: '$bits(rggen_access)'
20
+ }
21
+ output :address, {
18
22
  name: "o_#{register.name}_address",
19
23
  data_type: :logic, width: address_width
20
24
  }
21
- output :register_block, :write, {
22
- name: "o_#{register.name}_write",
23
- data_type: :logic, width: 1
24
- }
25
- output :register_block, :write_data, {
25
+ output :write_data, {
26
26
  name: "o_#{register.name}_data",
27
27
  data_type: :logic, width: bus_width
28
28
  }
29
- output :register_block, :strobe, {
29
+ output :strobe, {
30
30
  name: "o_#{register.name}_strobe",
31
31
  data_type: :logic, width: byte_width
32
32
  }
33
- input :register_block, :ready, {
33
+ input :ready, {
34
34
  name: "i_#{register.name}_ready",
35
35
  data_type: :logic, width: 1
36
36
  }
37
- input :register_block, :status, {
37
+ input :status, {
38
38
  name: "i_#{register.name}_status",
39
39
  data_type: :logic, width: 2
40
40
  }
41
- input :register_block, :read_data, {
41
+ input :read_data, {
42
42
  name: "i_#{register.name}_data",
43
43
  data_type: :logic, width: bus_width
44
44
  }
45
- interface :register, :bus_if, {
45
+ interface :bus_if, {
46
46
  name: 'bus_if', interface_type: 'rggen_bus_if',
47
47
  parameter_values: [address_width, bus_width],
48
48
  variables: [
49
- 'valid', 'address', 'write', 'write_data', 'strobe',
49
+ 'valid', 'access', 'address', 'write_data', 'strobe',
50
50
  'ready', 'status', 'read_data'
51
51
  ]
52
52
  }
@@ -58,8 +58,8 @@ RgGen.define_list_item_feature(:register, :type, :external) do
58
58
  unless configuration.fold_sv_interface_port?
59
59
  [
60
60
  [valid, bus_if.valid],
61
+ [access, bus_if.access],
61
62
  [address, bus_if.address],
62
- [write, bus_if.write],
63
63
  [write_data, bus_if.write_data],
64
64
  [strobe, bus_if.strobe],
65
65
  [bus_if.ready, ready],
@@ -3,7 +3,7 @@
3
3
  RgGen.define_list_item_feature(:register, :type, :indirect) do
4
4
  sv_rtl do
5
5
  build do
6
- logic :register, :indirect_index, { width: index_width }
6
+ logic :indirect_index, { width: index_width }
7
7
  end
8
8
 
9
9
  main_code :register do |code|
@@ -19,11 +19,11 @@ RgGen.define_list_item_feature(:register, :type, :indirect) do
19
19
  end
20
20
 
21
21
  def index_width
22
- @index_width ||= index_fields.map(&:width).inject(:+)
22
+ @index_width ||= index_fields.map(&:width).sum
23
23
  end
24
24
 
25
25
  def index_values
26
- loop_variables = register.loop_variables
26
+ loop_variables = register.local_loop_variables
27
27
  register.index_entries.zip(index_fields).map do |entry, field|
28
28
  if entry.array_index?
29
29
  loop_variables.shift[0, field.width]
@@ -40,8 +40,8 @@ RgGen.define_list_feature(:register_block, :protocol) do
40
40
  (error 'no protocols are available', position)
41
41
  end
42
42
 
43
- def select_feature(data)
44
- target_features[data.value]
43
+ def target_feature_key(data)
44
+ data.value
45
45
  end
46
46
 
47
47
  private
@@ -64,6 +64,16 @@ RgGen.define_list_feature(:register_block, :protocol) do
64
64
  shared_context.feature_registry(registry)
65
65
 
66
66
  base_feature do
67
+ build do
68
+ parameter :error_status, {
69
+ name: 'ERROR_STATUS', data_type: :bit, width: 1, default: 0
70
+ }
71
+ parameter :default_read_data, {
72
+ name: 'DEFAULT_READ_DATA', data_type: :bit, width: bus_width,
73
+ default: hex(0, bus_width)
74
+ }
75
+ end
76
+
67
77
  private
68
78
 
69
79
  def address_width
@@ -100,8 +110,8 @@ RgGen.define_list_feature(:register_block, :protocol) do
100
110
  end
101
111
 
102
112
  factory do
103
- def select_feature(configuration, _register_block)
104
- target_features[configuration.protocol]
113
+ def target_feature_key(configuration, _register_block)
114
+ configuration.protocol
105
115
  end
106
116
  end
107
117
  end
@@ -1,7 +1,9 @@
1
1
  rggen_apb_adapter #(
2
- .ADDRESS_WIDTH (<%= local_address_width %>),
3
- .BUS_WIDTH (<%= bus_width %>),
4
- .REGISTERS (<%= total_registers %>)
2
+ .ADDRESS_WIDTH (<%= local_address_width %>),
3
+ .BUS_WIDTH (<%= bus_width %>),
4
+ .REGISTERS (<%= total_registers %>),
5
+ .ERROR_STATUS (<%= error_status %>),
6
+ .DEFAULT_READ_DATA (<%= default_read_data %>)
5
7
  ) u_adapter (
6
8
  .i_clk (<%= clock %>),
7
9
  .i_rst_n (<%= reset %>),
@@ -22,42 +22,41 @@ RgGen.define_list_item_feature(:register_block, :protocol, :apb) do
22
22
  sv_rtl do
23
23
  build do
24
24
  if configuration.fold_sv_interface_port?
25
- interface_port :register_block, :apb_if, {
25
+ interface_port :apb_if, {
26
26
  name: 'apb_if', interface_type: 'rggen_apb_if', modport: 'slave'
27
27
  }
28
28
  else
29
- input :register_block, :psel, {
29
+ input :psel, {
30
30
  name: 'i_psel', data_type: :logic, width: 1
31
31
  }
32
- input :register_block, :penable, {
32
+ input :penable, {
33
33
  name: 'i_penable', data_type: :logic, width: 1
34
34
  }
35
- input :register_block, :paddr, {
35
+ input :paddr, {
36
36
  name: 'i_paddr', data_type: :logic, width: address_width
37
37
  }
38
- input :register_block, :pprot, {
38
+ input :pprot, {
39
39
  name: 'i_pprot', data_type: :logic, width: 3
40
40
  }
41
- input :register_block, :pwrite, {
41
+ input :pwrite, {
42
42
  name: 'i_pwrite', data_type: :logic, width: 1
43
43
  }
44
- input :register_block, :pstrb, {
45
- name: 'i_pstrb', data_type: :logic,
46
- width: byte_width
44
+ input :pstrb, {
45
+ name: 'i_pstrb', data_type: :logic, width: byte_width
47
46
  }
48
- input :register_block, :pwdata, {
47
+ input :pwdata, {
49
48
  name: 'i_pwdata', data_type: :logic, width: bus_width
50
49
  }
51
- output :register_block, :pready, {
50
+ output :pready, {
52
51
  name: 'o_pready', data_type: :logic, width: 1
53
52
  }
54
- output :register_block, :prdata, {
53
+ output :prdata, {
55
54
  name: 'o_prdata', data_type: :logic, width: bus_width
56
55
  }
57
- output :register_block, :pslverr, {
56
+ output :pslverr, {
58
57
  name: 'o_pslverr', data_type: :logic, width: 1
59
58
  }
60
- interface :register_block, :apb_if, {
59
+ interface :apb_if, {
61
60
  name: 'apb_if', interface_type: 'rggen_apb_if',
62
61
  parameter_values: [address_width, bus_width],
63
62
  variables: [
@@ -82,7 +81,7 @@ RgGen.define_list_item_feature(:register_block, :protocol, :apb) do
82
81
  [pready, apb_if.pready],
83
82
  [prdata, apb_if.prdata],
84
83
  [pslverr, apb_if.pslverr]
85
- ].map { |lhs, rhs| code << assign(lhs, rhs) << nl }
84
+ ].each { |lhs, rhs| code << assign(lhs, rhs) << nl }
86
85
  end
87
86
  end
88
87
  end
@@ -1,8 +1,10 @@
1
1
  rggen_axi4lite_adapter #(
2
- .ADDRESS_WIDTH (<%= local_address_width %>),
3
- .BUS_WIDTH (<%= bus_width %>),
4
- .REGISTERS (<%= total_registers %>),
5
- .WRITE_FIRST (<%= write_first %>)
2
+ .ADDRESS_WIDTH (<%= local_address_width %>),
3
+ .BUS_WIDTH (<%= bus_width %>),
4
+ .REGISTERS (<%= total_registers %>),
5
+ .ERROR_STATUS (<%= error_status %>),
6
+ .DEFAULT_READ_DATA (<%= default_read_data %>),
7
+ .WRITE_FIRST (<%= write_first %>)
6
8
  ) u_adapter (
7
9
  .i_clk (<%= clock %>),
8
10
  .i_rst_n (<%= reset %>),
@@ -13,75 +13,73 @@ RgGen.define_list_item_feature(:register_block, :protocol, :axi4lite) do
13
13
 
14
14
  sv_rtl do
15
15
  build do
16
- parameter :register_block, :write_first, {
17
- name: 'WRITE_FIRST',
18
- data_type: :bit,
19
- default: 1
16
+ parameter :write_first, {
17
+ name: 'WRITE_FIRST', data_type: :bit, default: 1
20
18
  }
21
19
  if configuration.fold_sv_interface_port?
22
- interface_port :register_block, :axi4lite_if, {
20
+ interface_port :axi4lite_if, {
23
21
  name: 'axi4lite_if',
24
22
  interface_type: 'rggen_axi4lite_if', modport: 'slave'
25
23
  }
26
24
  else
27
- input :register_block, :awvalid, {
25
+ input :awvalid, {
28
26
  name: 'i_awvalid', data_type: :logic, width: 1
29
27
  }
30
- output :register_block, :awready, {
28
+ output :awready, {
31
29
  name: 'o_awready', data_type: :logic, width: 1
32
30
  }
33
- input :register_block, :awaddr, {
31
+ input :awaddr, {
34
32
  name: 'i_awaddr', data_type: :logic, width: address_width
35
33
  }
36
- input :register_block, :awprot, {
34
+ input :awprot, {
37
35
  name: 'i_awprot', data_type: :logic, width: 3
38
36
  }
39
- input :register_block, :wvalid, {
37
+ input :wvalid, {
40
38
  name: 'i_wvalid', data_type: :logic, width: 1
41
39
  }
42
- output :register_block, :wready, {
40
+ output :wready, {
43
41
  name: 'o_wready', data_type: :logic, width: 1
44
42
  }
45
- input :register_block, :wdata, {
43
+ input :wdata, {
46
44
  name: 'i_wdata', data_type: :logic, width: bus_width
47
45
  }
48
- input :register_block, :wstrb, {
46
+ input :wstrb, {
49
47
  name: 'i_wstrb', data_type: :logic, width: byte_width
50
48
  }
51
- output :register_block, :bvalid, {
49
+ output :bvalid, {
52
50
  name: 'o_bvalid', data_type: :logic, width: 1
53
51
  }
54
- input :register_block, :bready, {
52
+ input :bready, {
55
53
  name: 'i_bready', data_type: :logic, width: 1
56
54
  }
57
- output :register_block, :bresp, {
55
+ output :bresp, {
58
56
  name: 'o_bresp', data_type: :logic, width: 2
59
57
  }
60
- input :register_block, :arvalid, {
58
+ input :arvalid, {
61
59
  name: 'i_arvalid', data_type: :logic, width: 1
62
60
  }
63
- output :register_block, :arready, {
61
+ output :arready, {
64
62
  name: 'o_arready', data_type: :logic, width: 1
65
63
  }
66
- input :register_block, :araddr, {
64
+ input :araddr, {
67
65
  name: 'i_araddr', data_type: :logic, width: address_width
68
66
  }
69
- input :register_block, :arprot, {
67
+ input :arprot, {
70
68
  name: 'i_arprot', data_type: :logic, width: 3
71
69
  }
72
- output :register_block, :rvalid, {
70
+ output :rvalid, {
73
71
  name: 'o_rvalid', data_type: :logic, width: 1
74
72
  }
75
- input :register_block, :rready, {
73
+ input :rready, {
76
74
  name: 'i_rready', data_type: :logic, width: 1
77
75
  }
78
- output :register_block, :rdata, {
76
+ output :rdata, {
79
77
  name: 'o_rdata', data_type: :logic, width: bus_width
80
78
  }
81
- output :register_block, :rresp, {
79
+ output :rresp, {
82
80
  name: 'o_rresp', data_type: :logic, width: 2
83
81
  }
84
- interface :register_block, :axi4lite_if, {
82
+ interface :axi4lite_if, {
85
83
  name: 'axi4lite_if', interface_type: 'rggen_axi4lite_if',
86
84
  parameter_values: [address_width, bus_width],
87
85
  variables: [