axi_tdl 0.1.3 → 0.1.19

Sign up to get free protection for your applications and to get access to all the features.
Files changed (101) hide show
  1. checksums.yaml +4 -4
  2. data/lib/axi/AXI4/axi4_direct_verc.sv +6 -0
  3. data/lib/axi/AXI4/axi4_dpram_cache.rb +3 -2
  4. data/lib/axi/AXI4/axi4_dpram_cache.sv +10 -10
  5. data/lib/axi/AXI4/axi4_ram_cache.rb +23 -0
  6. data/lib/axi/AXI4/axi4_ram_cache.sv +39 -0
  7. data/lib/axi/AXI4/axi4_rd_auxiliary_gen_A2.sv +112 -0
  8. data/lib/axi/AXI4/axi4_rd_burst_track.sv +2 -1
  9. data/lib/axi/AXI4/axi4_wr_burst_track.sv +2 -1
  10. data/lib/axi/AXI4/axis_to_axi4_wr.sv +11 -11
  11. data/lib/axi/AXI4/long_axis_to_axi4_wr.rb +113 -0
  12. data/lib/axi/AXI4/long_axis_to_axi4_wr.sv +125 -0
  13. data/lib/axi/AXI4/odata_pool_axi4_A3.sv +12 -4
  14. data/lib/axi/AXI4/packet_partition/axi4_partition_rd_verb.sv +5 -5
  15. data/lib/axi/AXI4/packet_partition/data_inf_partition.rb +8 -4
  16. data/lib/axi/AXI4/packet_partition/data_inf_partition.sv +5 -5
  17. data/lib/axi/AXI4/vcs_axi4_comptable.sv +35 -9
  18. data/lib/axi/AXI4/wide_axis_to_axi4_wr.rb +1 -1
  19. data/lib/axi/AXI4/wide_axis_to_axi4_wr.sv +8 -8
  20. data/lib/axi/AXI4/width_convert/odd_width_convert.sv +1 -1
  21. data/lib/axi/AXI_stream/axi_stream_split_channel.rb +5 -2
  22. data/lib/axi/AXI_stream/axi_stream_split_channel.sv +32 -29
  23. data/lib/axi/AXI_stream/axis_head_cut_verc.sv +10 -10
  24. data/lib/axi/AXI_stream/axis_insert_copy.sv +2 -2
  25. data/lib/axi/AXI_stream/axis_pipe_sync_seam.sv +3 -3
  26. data/lib/axi/AXI_stream/axis_rom_contect_sim.sv +5 -5
  27. data/lib/axi/AXI_stream/axis_sim_master_model.sv +2 -2
  28. data/lib/axi/AXI_stream/axis_split_channel_verb.sv +2 -2
  29. data/lib/axi/AXI_stream/data_width/axis_width_convert_verb.sv +50 -0
  30. data/lib/axi/AXI_stream/packet_fifo/axi_stream_packet_long_fifo.sv +2 -0
  31. data/lib/axi/AXI_stream/parse_big_field_table_verb.sv +5 -3
  32. data/lib/axi/common/test_write_mem.sv +1 -1
  33. data/lib/axi/data_interface/data_inf_c/data_c_pipe_sync_seam.sv +12 -12
  34. data/lib/axi/platform_ip/long_fifo_verb.sv +1 -1
  35. data/lib/axi/platform_ip/wide_fifo.sv +1 -1
  36. data/lib/axi/platform_ip/xilinx_fifo_verb.sv +1 -1
  37. data/lib/axi/platform_ip/xilinx_fifo_verc.sv +2 -1
  38. data/lib/axi/techbench/tb_axi_stream_split_channel.rb +1 -0
  39. data/lib/axi/techbench/tb_axi_stream_split_channel.sv +6 -6
  40. data/lib/axi_tdl/version.rb +1 -1
  41. data/lib/axi_tdl.rb +31 -1
  42. data/lib/public_atom_module/sim/clock_rst_verc.sv +69 -0
  43. data/lib/public_atom_module/sim_system_pkg.sv +4 -0
  44. data/lib/public_atom_module/synth_system_pkg.sv +4 -0
  45. data/lib/tdl/Logic/logic_edge.rb +14 -6
  46. data/lib/tdl/Logic/logic_latency.rb +7 -7
  47. data/lib/tdl/auto_script/autogensdl.rb +2 -3
  48. data/lib/tdl/auto_script/import_hdl.rb +41 -5
  49. data/lib/tdl/auto_script/import_sdl.rb +43 -1
  50. data/lib/tdl/axi4/axi4_interconnect_verb.rb +9 -14
  51. data/lib/tdl/class_hdl/hdl_always_ff.rb +1 -1
  52. data/lib/tdl/class_hdl/hdl_data.rb +1 -1
  53. data/lib/tdl/class_hdl/hdl_generate.rb +2 -2
  54. data/lib/tdl/examples/11_test_unit/dve.tcl +153 -6
  55. data/lib/tdl/examples/11_test_unit/exp_test_unit.rb +5 -4
  56. data/lib/tdl/examples/11_test_unit/exp_test_unit.sv +7 -33
  57. data/lib/tdl/examples/11_test_unit/exp_test_unit_sim.sv +33 -7
  58. data/lib/tdl/examples/11_test_unit/modules/sub_md0.sv +2 -2
  59. data/lib/tdl/examples/11_test_unit/modules/sub_md1.sv +2 -2
  60. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit.sv +1 -1
  61. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit_sim.sv +1 -2
  62. data/lib/tdl/examples/11_test_unit/tu0.sv +4 -6
  63. data/lib/tdl/examples/2_hdl_class/tmp/always_comb_test.sv +3 -3
  64. data/lib/tdl/examples/2_hdl_class/tmp/always_ff_test.sv +3 -3
  65. data/lib/tdl/examples/2_hdl_class/tmp/case_test.sv +3 -3
  66. data/lib/tdl/examples/2_hdl_class/tmp/module_instance_test.sv +1 -1
  67. data/lib/tdl/examples/2_hdl_class/tmp/simple_assign_test.sv +3 -3
  68. data/lib/tdl/examples/2_hdl_class/tmp/state_case_test.sv +3 -3
  69. data/lib/tdl/examples/2_hdl_class/tmp/test_inst_sugar.sv +1 -1
  70. data/lib/tdl/examples/2_hdl_class/tmp/test_module.sv +2 -2
  71. data/lib/tdl/examples/2_hdl_class/tmp/test_module_port.sv +2 -2
  72. data/lib/tdl/examples/2_hdl_class/tmp/test_module_var.sv +6 -6
  73. data/lib/tdl/examples/2_hdl_class/tmp/test_vcs_string.sv +1 -1
  74. data/lib/tdl/examples/3_hdl_sdl_instance/main_md.sv +2 -2
  75. data/lib/tdl/examples/6_module_with_interface/example_interface.sv +8 -8
  76. data/lib/tdl/examples/6_module_with_interface/inf_collect.sv +9 -9
  77. data/lib/tdl/examples/8_top_module/dve.tcl +6 -0
  78. data/lib/tdl/examples/8_top_module/tb_test_top.sv +1 -2
  79. data/lib/tdl/examples/8_top_module/tb_test_top_sim.sv +1 -1
  80. data/lib/tdl/examples/8_top_module/test_top.sv +1 -1
  81. data/lib/tdl/examples/9_itegration/clock_manage/test_clock_bb.sv +2 -1
  82. data/lib/tdl/examples/9_itegration/dve.tcl +6 -0
  83. data/lib/tdl/examples/9_itegration/tb_test_tttop.sv +1 -3
  84. data/lib/tdl/examples/9_itegration/tb_test_tttop_sim.sv +1 -2
  85. data/lib/tdl/examples/9_itegration/test_tttop.sv +2 -2
  86. data/lib/tdl/exlib/axis_eth_ex.rb +1 -1
  87. data/lib/tdl/exlib/axis_verify.rb +2 -2
  88. data/lib/tdl/exlib/constraints_verb.rb +1 -0
  89. data/lib/tdl/exlib/itegration_verb.rb +45 -39
  90. data/lib/tdl/exlib/logic_verify.rb +1 -1
  91. data/lib/tdl/rebuild_ele/axi4.rb +6 -2
  92. data/lib/tdl/rebuild_ele/axi_stream.rb +3 -3
  93. data/lib/tdl/rebuild_ele/data_inf_c.rb +2 -2
  94. data/lib/tdl/rebuild_ele/ele_base.rb +7 -2
  95. data/lib/tdl/sdlmodule/sdlmodule.rb +73 -1
  96. data/lib/tdl/sdlmodule/sdlmodule_draw.rb +1 -1
  97. data/lib/tdl/sdlmodule/sdlmodule_vcs_comptable.rb +3 -3
  98. data/lib/tdl/sdlmodule/test_unit_module.rb +4 -1
  99. data/lib/tdl/sdlmodule/top_module.rb +4 -0
  100. data/lib/tdl/tdl.rb +1 -11
  101. metadata +12 -3
@@ -31,8 +31,8 @@ TdlBuild.data_inf_partition(__dir__) do
31
31
  logic - 'tail_len'
32
32
  logic - 'one_long_stream'
33
33
  logic - 'fifo_wr'
34
- debugLogic - 'fifo_full'
35
- debugLogic - 'fifo_empty'
34
+ logic - 'fifo_full'
35
+ logic - 'fifo_empty'
36
36
 
37
37
  always_comb do
38
38
  CASE ps.C do
@@ -267,8 +267,12 @@ TdlBuild.data_inf_partition(__dir__) do
267
267
  end
268
268
 
269
269
  ### Track
270
- debugLogic[10] - 'st5_cnt'
271
- debugLogic - 'track_st5'
270
+ # debugLogic[10] - 'st5_cnt'
271
+ # debugLogic - 'track_st5'
272
+
273
+ logic[10] - 'st5_cnt'
274
+ logic - 'track_st5'
275
+
272
276
  always_ff(posedge.clock,negedge.rst_n) do
273
277
  IF ~rst_n do
274
278
  st5_cnt <= 0.A
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- creaded: XXXX.XX.XX
8
+ creaded:
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -29,14 +29,14 @@ logic rst_n;
29
29
  logic tail_len;
30
30
  logic one_long_stream;
31
31
  logic fifo_wr;
32
+ logic fifo_full;
33
+ logic fifo_empty;
32
34
  logic [IDSIZE+4-1:0] curr_id ;
33
35
  logic [LSIZE-1:0] curr_length ;
34
36
  logic [(data_in.DSIZE - IDSIZE)-LSIZE-1:0] curr_addr ;
35
37
  logic [LSIZE-1:0] wr_length ;
36
- (* MARK_DEBUG="true" *)(* dont_touch="true" *)logic fifo_full;
37
- (* MARK_DEBUG="true" *)(* dont_touch="true" *)logic fifo_empty;
38
- (* MARK_DEBUG="true" *)(* dont_touch="true" *)logic [9:0] st5_cnt ;
39
- (* MARK_DEBUG="true" *)(* dont_touch="true" *)logic track_st5;
38
+ logic [10-1:0] st5_cnt ;
39
+ logic track_st5;
40
40
 
41
41
  //==========================================================================
42
42
  //-------- instance --------------------------------------------------------
@@ -60,7 +60,7 @@ if(TO=="mirror")begin
60
60
  assign to.axi_rvalid = origin.axi_rvalid ;
61
61
  end else begin
62
62
  initial begin
63
- $error("vcs_axi4_comptable ORIGIN[%s] => [%s] ERROR",ORIGIN,TO);
63
+ $error("vcs_axi4_comptable ORIGIN[%0s] => [%0s] ERROR",ORIGIN,TO);
64
64
  $stop;
65
65
  end
66
66
  end
@@ -85,7 +85,7 @@ end else if(TO=="mirror_rd")begin
85
85
  assign to.axi_rvalid = origin.axi_rvalid ;
86
86
  end else begin
87
87
  initial begin
88
- $error("vcs_axi4_comptable ORIGIN[%s] => [%s] ERROR",ORIGIN,TO);
88
+ $error("vcs_axi4_comptable ORIGIN[%0s] => [%0s] ERROR",ORIGIN,TO);
89
89
  $stop;
90
90
  end
91
91
  end
@@ -131,7 +131,7 @@ end else if(ORIGIN=="slaver")begin
131
131
  assign origin.axi_rvalid = to.axi_rvalid ;
132
132
  end else begin
133
133
  initial begin
134
- $error("vcs_axi4_comptable ORIGIN[%s] => [%s] ERROR",ORIGIN,TO);
134
+ $error("vcs_axi4_comptable ORIGIN[%0s] => [%0s] ERROR",ORIGIN,TO);
135
135
  $stop;
136
136
  end
137
137
  end
@@ -173,7 +173,7 @@ end else if(ORIGIN == "master_rd")begin
173
173
  assign origin.axi_rvalid = to.axi_rvalid ;
174
174
  end else begin
175
175
  initial begin
176
- $error("vcs_axi4_comptable ORIGIN[%s] => [%s] ERROR",ORIGIN,TO);
176
+ $error("vcs_axi4_comptable ORIGIN[%0s] => [%0s] ERROR",ORIGIN,TO);
177
177
  $stop;
178
178
  end
179
179
  end
@@ -239,7 +239,7 @@ end else if(ORIGIN=="master")begin
239
239
  assign origin.axi_rvalid = to.axi_rvalid ;
240
240
  end else begin
241
241
  initial begin
242
- $error("vcs_axi4_comptable ORIGIN[%s] => [%s] ERROR",ORIGIN,TO);
242
+ $error("vcs_axi4_comptable ORIGIN[%0s] => [%0s] ERROR",ORIGIN,TO);
243
243
  $stop;
244
244
  end
245
245
  end
@@ -261,7 +261,7 @@ end else if(ORIGIN == "master_wr_aux_no_resp")begin
261
261
  assign origin.axi_wready = to.axi_wready ;
262
262
  end else begin
263
263
  initial begin
264
- $error("vcs_axi4_comptable ORIGIN[%s] => [%s] ERROR",ORIGIN,TO);
264
+ $error("vcs_axi4_comptable ORIGIN[%0s] => [%0s] ERROR",ORIGIN,TO);
265
265
  $stop;
266
266
  end
267
267
  end
@@ -285,7 +285,7 @@ end else if(ORIGIN == "master_rd_aux")begin
285
285
  assign origin.axi_rvalid = to.axi_rvalid ;
286
286
  end else begin
287
287
  initial begin
288
- $error("vcs_axi4_comptable ORIGIN[%s] => [%s] ERROR",ORIGIN,TO);
288
+ $error("vcs_axi4_comptable ORIGIN[%0s] => [%0s] ERROR",ORIGIN,TO);
289
289
  $stop;
290
290
  end
291
291
  end
@@ -313,13 +313,39 @@ end else if(ORIGIN=="master_wr")begin
313
313
  assign origin.axi_bvalid = to.axi_bvalid ;
314
314
  end else begin
315
315
  initial begin
316
- $error("vcs_axi4_comptable ORIGIN[%s] => [%s] ERROR",ORIGIN,TO);
316
+ $error("vcs_axi4_comptable ORIGIN[%0s] => [%0s] ERROR",ORIGIN,TO);
317
+ $stop;
318
+ end
319
+ end
320
+ end else if(ORIGIN=="slaver_rd")begin
321
+ if(TO=="slaver")begin
322
+ assign to.axi_arid = origin.axi_arid ;
323
+ assign to.axi_araddr = origin.axi_araddr ;
324
+ assign to.axi_arlen = origin.axi_arlen ;
325
+ assign to.axi_arsize = origin.axi_arsize ;
326
+ assign to.axi_arburst = origin.axi_arburst;
327
+ assign to.axi_arlock = origin.axi_arlock ;
328
+ assign to.axi_arcache = origin.axi_arcache;
329
+ assign to.axi_arprot = origin.axi_arprot ;
330
+ assign to.axi_arqos = origin.axi_arqos ;
331
+ assign to.axi_arvalid = origin.axi_arvalid;
332
+ assign origin.axi_arready = to.axi_arready;
333
+
334
+ assign to.axi_rready = origin.axi_rready ;
335
+ assign origin.axi_rid = to.axi_rid ;
336
+ assign origin.axi_rresp = to.axi_rresp ;
337
+ assign origin.axi_rlast = to.axi_rlast ;
338
+ assign origin.axi_rdata = to.axi_rdata ;
339
+ assign origin.axi_rvalid = to.axi_rvalid ;
340
+ end else begin
341
+ initial begin
342
+ $error("vcs_axi4_comptable ORIGIN[%0s] => [%0s] ERROR",ORIGIN,TO);
317
343
  $stop;
318
344
  end
319
345
  end
320
346
  end else begin
321
347
  initial begin
322
- $error("vcs_axi4_comptable ORIGIN[%s] => [%s] ERROR",ORIGIN,TO);
348
+ $error("vcs_axi4_comptable ORIGIN[%0s] => [%0s] ERROR",ORIGIN,TO);
323
349
  $stop;
324
350
  end
325
351
  end
@@ -95,7 +95,7 @@ new_m.instance_exec do
95
95
  len_s <= split_out.axis_tcnt
96
96
  id_add_len_in.axis_tvalid <= ~independent_clock_fifo_inst[:empty]
97
97
  id_add_len_in.axis_tdata <= independent_clock_fifo_inst[:rdata]
98
- id_add_len_in.axis_tlast <= "1'b1"
98
+ id_add_len_in.axis_tlast <= 1.b1
99
99
  independent_clock_fifo_inst[:rd_en] <= id_add_len_in.axis_tready
100
100
  end
101
101
 
@@ -53,11 +53,11 @@ logic fifo_rd_en;
53
53
  logic fifo_empty;
54
54
  logic fifo_full;
55
55
  logic stream_en;
56
- axi_stream_inf #(.DSIZE(axis_in.DSIZE),.USIZE(1)) split_out (.aclk(axis_in.aclk),.aresetn(axis_in.aresetn),.aclken(1'b1)) ;
57
- axi_stream_inf #(.DSIZE(axis_in.DSIZE),.USIZE(1)) fifo_axis (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
58
- axi_stream_inf #(.DSIZE(axi_wr.IDSIZE + axi_wr.ASIZE + axi_wr.LSIZE),.USIZE(1)) id_add_len_in (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
59
- axi_inf #(.DSIZE(axi_wr.DSIZE),.IDSIZE(axi_wr.IDSIZE),.ASIZE(axi_wr.ASIZE),.LSIZE(axi_wr.LSIZE),.MODE(axi_wr.MODE),.ADDR_STEP(axi_wr.ADDR_STEP)) axi_wr_vcs_cp_R1342 (.axi_aclk(axi_wr.axi_aclk),.axi_aresetn(axi_wr.axi_aresetn)) ;
60
- axi_stream_inf #(.DSIZE(axis_in.DSIZE),.USIZE(1)) pipe_axis (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
56
+ axi_stream_inf #(.DSIZE(axis_in.DSIZE),.FreqM(axis_in.FreqM),.USIZE(1)) split_out (.aclk(axis_in.aclk),.aresetn(axis_in.aresetn),.aclken(1'b1)) ;
57
+ axi_stream_inf #(.DSIZE(axis_in.DSIZE),.FreqM(axis_in.FreqM),.USIZE(1)) fifo_axis (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
58
+ axi_stream_inf #(.DSIZE(axi_wr.IDSIZE + axi_wr.ASIZE + axi_wr.LSIZE),.FreqM(1.0),.USIZE(1)) id_add_len_in (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
59
+ axi_inf #(.DSIZE(axi_wr.DSIZE),.IDSIZE(axi_wr.IDSIZE),.ASIZE(axi_wr.ASIZE),.LSIZE(axi_wr.LSIZE),.MODE(axi_wr.MODE),.ADDR_STEP(axi_wr.ADDR_STEP),.FreqM(axi_wr.FreqM)) axi_wr_vcs_cp_R0000 (.axi_aclk(axi_wr.axi_aclk),.axi_aresetn(axi_wr.axi_aresetn)) ;
60
+ axi_stream_inf #(.DSIZE(axis_in.DSIZE),.FreqM(axis_in.FreqM),.USIZE(1)) pipe_axis (.aclk(axi_wr.axi_aclk),.aresetn(axi_wr.axi_aresetn),.aclken(1'b1)) ;
61
61
  //==========================================================================
62
62
  //-------- instance --------------------------------------------------------
63
63
  axis_length_split_with_addr #(
@@ -93,13 +93,13 @@ independent_clock_fifo #(
93
93
  axi4_wr_auxiliary_gen_without_resp axi4_wr_auxiliary_gen_without_resp_inst(
94
94
  /* output */.stream_en (stream_en ),
95
95
  /* axi_stream_inf.slaver */.id_add_len_in (id_add_len_in ),
96
- /* axi_inf.master_wr_aux_no_resp */.axi_wr_aux (axi_wr_vcs_cp_R1342 )
96
+ /* axi_inf.master_wr_aux_no_resp */.axi_wr_aux (axi_wr_vcs_cp_R0000 )
97
97
  );
98
98
  vcs_axi4_comptable #(
99
99
  .ORIGIN ("master_wr_aux_no_resp" ),
100
100
  .TO ("master_wr" )
101
- )vcs_axi4_comptable_axi_wr_aux_R700_axi_wr_inst(
102
- /* input */.origin (axi_wr_vcs_cp_R1342 ),
101
+ )vcs_axi4_comptable_axi_wr_aux_R0001_axi_wr_inst(
102
+ /* input */.origin (axi_wr_vcs_cp_R0000 ),
103
103
  /* output */.to (axi_wr )
104
104
  );
105
105
  axis_valve_with_pipe #(
@@ -31,7 +31,7 @@ assign wr_ready = rd_ready;
31
31
  initial begin
32
32
  assert(ISIZE < OSIZE)
33
33
  else begin
34
- $error("ISIZE MUST BE MORE SMALLER THAN OSIZE\n");
34
+ $error("ISIZE<%d> MUST BE MORE SMALLER THAN OSIZE<%d>\n",ISIZE,OSIZE);
35
35
  $stop;
36
36
  end
37
37
  end
@@ -19,8 +19,11 @@ TdlBuild.axi_stream_split_channel(__dir__) do
19
19
  addr <= 1.b0
20
20
  new_last <= 1.b0
21
21
  end
22
- ELSE do
23
- IF origin_inf.vld_rdy do
22
+ ELSE do
23
+ IF origin_inf.vld_rdy_last do
24
+ new_last <= 1.b0
25
+ end
26
+ ELSIF origin_inf.vld_rdy do
24
27
  new_last <= (origin_inf.axis_tcnt == (split_len - 2))
25
28
  end
26
29
  ELSE do
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: 2021-04-03 12:04:15 +0800
8
+ creaded: XXXX.XX.XX
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -23,8 +23,8 @@ logic clock;
23
23
  logic rst_n;
24
24
  logic addr;
25
25
  logic new_last;
26
- axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.USIZE(1)) origin_inf_add_last (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
27
- axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.USIZE(1)) sub_origin_inf [1:0] (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
26
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) origin_inf_add_last (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
27
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) sub_origin_inf [1:0] (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
28
28
  //==========================================================================
29
29
  //-------- instance --------------------------------------------------------
30
30
  axi_stream_interconnect_S2M #(
@@ -55,22 +55,22 @@ axis_direct axis_direct_end_inf_inst0 (
55
55
  );
56
56
  //-------- CLOCKs Total 3 ----------------------
57
57
  //--->> CheckClock <<----------------
58
- logic cc_done_0,cc_same_0;
59
- integer cc_afreq_0,cc_bfreq_0;
60
- ClockSameDomain CheckPClock_inst_0(
61
- /* input */ .aclk (origin_inf.aclk),
62
- /* input */ .bclk (first_inf.aclk),
63
- /* output logic */ .done (cc_done_0),
64
- /* output logic */ .same (cc_same_0),
65
- /* output integer */ .aFreqK (cc_afreq_0),
66
- /* output integer */ .bFreqK (cc_bfreq_0)
58
+ logic cc_done_8,cc_same_8;
59
+ integer cc_afreq_8,cc_bfreq_8;
60
+ ClockSameDomain CheckPClock_inst_8(
61
+ /* input */ .aclk (origin_inf.aclk ),
62
+ /* input */ .bclk (first_inf.aclk ),
63
+ /* output logic */ .done (cc_done_8),
64
+ /* output logic */ .same (cc_same_8),
65
+ /* output integer */ .aFreqK (cc_afreq_8),
66
+ /* output integer */ .bFreqK (cc_bfreq_8)
67
67
  );
68
68
 
69
69
  initial begin
70
- wait(cc_done_0);
71
- assert(cc_same_0)
70
+ wait(cc_done_8);
71
+ assert(cc_same_8)
72
72
  else begin
73
- $error("--- Error : `axi_stream_split_channel` clock is not same, origin_inf.aclk< %0f M> != first_inf.aclk<%0f M>",1000000.0/cc_afreq_0, 1000000.0/cc_bfreq_0);
73
+ $error("--- Error : `axi_stream_split_channel` clock is not same, origin_inf.aclk< %0f M> != first_inf.aclk<%0f M>",1000000.0/cc_afreq_8, 1000000.0/cc_bfreq_8);
74
74
  repeat(10)begin
75
75
  @(posedge origin_inf.aclk);
76
76
  end
@@ -80,22 +80,22 @@ end
80
80
  //---<< CheckClock >>----------------
81
81
 
82
82
  //--->> CheckClock <<----------------
83
- logic cc_done_1,cc_same_1;
84
- integer cc_afreq_1,cc_bfreq_1;
85
- ClockSameDomain CheckPClock_inst_1(
86
- /* input */ .aclk (origin_inf.aclk),
87
- /* input */ .bclk (end_inf.aclk),
88
- /* output logic */ .done (cc_done_1),
89
- /* output logic */ .same (cc_same_1),
90
- /* output integer */ .aFreqK (cc_afreq_1),
91
- /* output integer */ .bFreqK (cc_bfreq_1)
83
+ logic cc_done_9,cc_same_9;
84
+ integer cc_afreq_9,cc_bfreq_9;
85
+ ClockSameDomain CheckPClock_inst_9(
86
+ /* input */ .aclk (origin_inf.aclk ),
87
+ /* input */ .bclk (end_inf.aclk ),
88
+ /* output logic */ .done (cc_done_9),
89
+ /* output logic */ .same (cc_same_9),
90
+ /* output integer */ .aFreqK (cc_afreq_9),
91
+ /* output integer */ .bFreqK (cc_bfreq_9)
92
92
  );
93
93
 
94
94
  initial begin
95
- wait(cc_done_1);
96
- assert(cc_same_1)
95
+ wait(cc_done_9);
96
+ assert(cc_same_9)
97
97
  else begin
98
- $error("--- Error : `axi_stream_split_channel` clock is not same, origin_inf.aclk< %0f M> != end_inf.aclk<%0f M>",1000000.0/cc_afreq_1, 1000000.0/cc_bfreq_1);
98
+ $error("--- Error : `axi_stream_split_channel` clock is not same, origin_inf.aclk< %0f M> != end_inf.aclk<%0f M>",1000000.0/cc_afreq_9, 1000000.0/cc_bfreq_9);
99
99
  repeat(10)begin
100
100
  @(posedge origin_inf.aclk);
101
101
  end
@@ -114,8 +114,11 @@ always_ff@(posedge clock,negedge rst_n) begin
114
114
  new_last <= 1'b0;
115
115
  end
116
116
  else begin
117
- if(origin_inf.axis_tvalid && origin_inf.axis_tready)begin
118
- new_last <= origin_inf.axis_tcnt==(split_len-2);
117
+ if(origin_inf.axis_tvalid && origin_inf.axis_tready && origin_inf.axis_tlast)begin
118
+ new_last <= 1'b0;
119
+ end
120
+ else if(origin_inf.axis_tvalid && origin_inf.axis_tready)begin
121
+ new_last <= (origin_inf.axis_tcnt==(split_len-2));
119
122
  end
120
123
  else begin
121
124
  new_last <= new_last;
@@ -4,7 +4,7 @@ ___________ Cook Darwin __________
4
4
  _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
- Version: VERA.0.0
7
+ Version: VERC.0.0
8
8
  creaded: XXXX.XX.XX
9
9
  madified:
10
10
  ***********************************************/
@@ -39,13 +39,13 @@ logic [4-1:0] int_cut_len ;
39
39
  logic [4-1:0] shift_sel_pre ;
40
40
  logic fifo_wr_en_lat;
41
41
  logic [4-1:0] shift_sel ;
42
- axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.USIZE(1)) origin_inf_post (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
43
- axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.USIZE(1)) sub_origin_inf [2:0] (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
44
- axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.USIZE(1)) origin_inf_ss (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
45
- axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.USIZE(1)) origin_inf_cut_mix (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
46
- axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.USIZE(1)) origin_inf_ss_E0 (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
47
- axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.USIZE(1)) origin_inf_ss_E0_CH (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
48
- axi_stream_inf #(.DSIZE(out_inf.DSIZE),.USIZE(1)) out_inf_branchR774 (.aclk(out_inf.aclk),.aresetn(out_inf.aresetn),.aclken(1'b1)) ;
42
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) origin_inf_post (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
43
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) sub_origin_inf [2:0] (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
44
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) origin_inf_ss (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
45
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) origin_inf_cut_mix (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
46
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) origin_inf_ss_E0 (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
47
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) origin_inf_ss_E0_CH (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
48
+ axi_stream_inf #(.DSIZE(out_inf.DSIZE),.FreqM(out_inf.FreqM),.USIZE(1)) out_inf_branchR0000 (.aclk(out_inf.aclk),.aresetn(out_inf.aresetn),.aclken(1'b1)) ;
49
49
  //==========================================================================
50
50
  //-------- instance --------------------------------------------------------
51
51
  axis_pipe_sync_seam #(
@@ -122,7 +122,7 @@ axis_connect_pipe_right_shift_verb #(
122
122
  axis_head_cut_verb last_cut_inst(
123
123
  /* input */.length (16'd1 ),
124
124
  /* axi_stream_inf.slaver */.axis_in (origin_inf_ss_E0_CH ),
125
- /* axi_stream_inf.master */.axis_out (out_inf_branchR774 )
125
+ /* axi_stream_inf.master */.axis_out (out_inf_branchR0000 )
126
126
  );
127
127
  //==========================================================================
128
128
  //-------- expression ------------------------------------------------------
@@ -136,7 +136,7 @@ axis_direct axis_direct_out_inf_inst0 (
136
136
  );
137
137
 
138
138
  axis_direct axis_direct_out_inf_inst1 (
139
- /* axi_stream_inf.slaver*/ .slaver (out_inf_branchR774),
139
+ /* axi_stream_inf.slaver*/ .slaver (out_inf_branchR0000),
140
140
  /* axi_stream_inf.master*/ .master (sub_out_inf[1])
141
141
  );
142
142
 
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- creaded: XXXX.XX.XX
8
+ created: 2021-04-16 17:01:06 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -22,7 +22,7 @@ module axis_insert_copy (
22
22
  logic clock;
23
23
  logic rst_n;
24
24
  logic insert_tri;
25
- axi_stream_inf #(.DSIZE(in_inf.DSIZE),.USIZE(1)) in_inf_valve (.aclk(in_inf.aclk),.aresetn(in_inf.aresetn),.aclken(1'b1)) ;
25
+ axi_stream_inf #(.DSIZE(in_inf.DSIZE),.FreqM(in_inf.FreqM),.USIZE(1)) in_inf_valve (.aclk(in_inf.aclk),.aresetn(in_inf.aresetn),.aclken(1'b1)) ;
26
26
  //==========================================================================
27
27
  //-------- instance --------------------------------------------------------
28
28
  axis_connect_pipe axis_connect_pipe_inst(
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- creaded: XXXX.XX.XX
8
+ created: 2021-04-16 17:01:05 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -23,8 +23,8 @@ module axis_pipe_sync_seam #(
23
23
  //==========================================================================
24
24
  //-------- define ----------------------------------------------------------
25
25
 
26
- data_inf_c #(.DSIZE(in_inf.DSIZE+in_inf.KSIZE+1+in_inf.USIZE)) data_in_inf (.clock(in_inf.aclk),.rst_n(in_inf.aresetn)) ;
27
- data_inf_c #(.DSIZE(in_inf.DSIZE+in_inf.KSIZE+1+in_inf.USIZE)) data_out_inf (.clock(in_inf.aclk),.rst_n(in_inf.aresetn)) ;
26
+ data_inf_c #(.DSIZE(in_inf.DSIZE+in_inf.KSIZE+1+in_inf.USIZE),.FreqM(1.0)) data_in_inf (.clock(in_inf.aclk),.rst_n(in_inf.aresetn)) ;
27
+ data_inf_c #(.DSIZE(in_inf.DSIZE+in_inf.KSIZE+1+in_inf.USIZE),.FreqM(data_in_inf.FreqM)) data_out_inf (.clock(in_inf.aclk),.rst_n(in_inf.aresetn)) ;
28
28
  //==========================================================================
29
29
  //-------- instance --------------------------------------------------------
30
30
  data_c_pipe_sync_seam #(
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- creaded: XXXX.XX.XX
8
+ created: 2021-04-16 17:01:02 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -25,11 +25,11 @@ module axis_rom_contect_sim #(
25
25
  //==========================================================================
26
26
  //-------- define ----------------------------------------------------------
27
27
 
28
- axi_stream_inf #(.DSIZE((a_axis_zip.DSIZE / 2)),.USIZE(1)) a_axis_unzip (.aclk(a_axis_zip.aclk),.aresetn(a_axis_zip.aresetn),.aclken(1'b1)) ;
29
- axi_stream_inf #(.DSIZE((b_axis_zip.DSIZE / 2)),.USIZE(1)) b_axis_unzip (.aclk(b_axis_zip.aclk),.aresetn(b_axis_zip.aresetn),.aclken(1'b1)) ;
28
+ axi_stream_inf #(.DSIZE((a_axis_zip.DSIZE / 2)),.FreqM(a_axis_zip.FreqM),.USIZE(1)) a_axis_unzip (.aclk(a_axis_zip.aclk),.aresetn(a_axis_zip.aresetn),.aclken(1'b1)) ;
29
+ axi_stream_inf #(.DSIZE((b_axis_zip.DSIZE / 2)),.FreqM(b_axis_zip.FreqM),.USIZE(1)) b_axis_unzip (.aclk(b_axis_zip.aclk),.aresetn(b_axis_zip.aresetn),.aclken(1'b1)) ;
30
30
  cm_ram_inf #(.DSIZE(a_rom_contect_inf.DSIZE),.RSIZE(a_axis_zip.DSIZE),.MSIZE(1)) xram_inf();
31
- axi_stream_inf #(.DSIZE(a_rom_contect_inf.DSIZE+(a_axis_zip.DSIZE / 2)),.USIZE(1)) a_rom_contect_inf_pre (.aclk(a_rom_contect_inf.aclk),.aresetn(a_rom_contect_inf.aresetn),.aclken(1'b1)) ;
32
- axi_stream_inf #(.DSIZE(b_rom_contect_inf.DSIZE+(b_axis_zip.DSIZE / 2)),.USIZE(1)) b_rom_contect_inf_pre (.aclk(b_rom_contect_inf.aclk),.aresetn(b_rom_contect_inf.aresetn),.aclken(1'b1)) ;
31
+ axi_stream_inf #(.DSIZE(a_rom_contect_inf.DSIZE+(a_axis_zip.DSIZE / 2)),.FreqM(a_rom_contect_inf.FreqM),.USIZE(1)) a_rom_contect_inf_pre (.aclk(a_rom_contect_inf.aclk),.aresetn(a_rom_contect_inf.aresetn),.aclken(1'b1)) ;
32
+ axi_stream_inf #(.DSIZE(b_rom_contect_inf.DSIZE+(b_axis_zip.DSIZE / 2)),.FreqM(b_rom_contect_inf.FreqM),.USIZE(1)) b_rom_contect_inf_pre (.aclk(b_rom_contect_inf.aclk),.aresetn(b_rom_contect_inf.aresetn),.aclken(1'b1)) ;
33
33
  //==========================================================================
34
34
  //-------- instance --------------------------------------------------------
35
35
  axis_uncompress_A1 #(
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- created: 2021-04-03 12:04:15 +0800
8
+ created: 2021-04-16 17:01:07 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -24,7 +24,7 @@ module axis_sim_master_model #(
24
24
  //==========================================================================
25
25
  //-------- define ----------------------------------------------------------
26
26
 
27
- data_inf_c #(.DSIZE(out_inf.DSIZE + out_inf.KSIZE + out_inf.USIZE + 1)) out_inf_dc (.clock(out_inf.aclk),.rst_n(out_inf.aresetn)) ;
27
+ data_inf_c #(.DSIZE(out_inf.DSIZE + out_inf.KSIZE + out_inf.USIZE + 1),.FreqM(1.0)) out_inf_dc (.clock(out_inf.aclk),.rst_n(out_inf.aresetn)) ;
28
28
  //==========================================================================
29
29
  //-------- instance --------------------------------------------------------
30
30
  data_c_sim_master_model #(
@@ -5,7 +5,7 @@ _______________________________________
5
5
  descript:
6
6
  author : Cook.Darwin
7
7
  Version: VERA.0.0
8
- creaded: XXXX.XX.XX
8
+ created: 2021-04-16 17:01:06 +0800
9
9
  madified:
10
10
  ***********************************************/
11
11
  `timescale 1ns/1ps
@@ -23,7 +23,7 @@ logic clock;
23
23
  logic rst_n;
24
24
  logic [16-1:0] insert_seed ;
25
25
  logic [16-1:0] next_split_len ;
26
- axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.USIZE(1)) origin_inf_insert (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
26
+ axi_stream_inf #(.DSIZE(origin_inf.DSIZE),.FreqM(origin_inf.FreqM),.USIZE(1)) origin_inf_insert (.aclk(origin_inf.aclk),.aresetn(origin_inf.aresetn),.aclken(1'b1)) ;
27
27
  //==========================================================================
28
28
  //-------- instance --------------------------------------------------------
29
29
  axis_insert_copy axis_insert_copy_inst(
@@ -0,0 +1,50 @@
1
+ /**********************************************
2
+ _______________________________________
3
+ ___________ Cook Darwin __________
4
+ _______________________________________
5
+ descript:
6
+ author : Cook.Darwin
7
+ Version: VERA.0.0
8
+ creaded:
9
+ madified:
10
+ ***********************************************/
11
+ `timescale 1ns/1ps
12
+ (* axi_stream = "true" *)
13
+ module axis_width_convert_verb #(
14
+ parameter IDSIZE = 8,
15
+ parameter ODSIZE = 16
16
+ )(
17
+ axi_stream_inf.slaver in_axis,
18
+ axi_stream_inf.master out_axis
19
+ );
20
+
21
+ generate
22
+ if(IDSIZE == ODSIZE)
23
+ axis_direct_A1 #(
24
+ .IDSIZE (in_axis.DSIZE),
25
+ .ODSIZE (out_axis.DSIZE)
26
+ )axis_direct_A1_inst(
27
+ /* axi_stream_inf.slaver */ .slaver (in_axis ),
28
+ /* axi_stream_inf.master */ .master (out_axis )
29
+ );
30
+ else
31
+ width_convert_verb #(
32
+ .ISIZE (IDSIZE ),
33
+ .OSIZE (ODSIZE )
34
+ )width_convert_verb_inst(
35
+ /* input */ .clock (in_axis.aclk ),
36
+ /* input */ .rst_n (in_axis.aresetn ),
37
+ /* input [ISIZE-1:0] */ .wr_data (in_axis.axis_tdata ),
38
+ /* input */ .wr_vld (in_axis.axis_tvalid ),
39
+ /* output logic */ .wr_ready (in_axis.axis_tready ),
40
+ /* input */ .wr_last (in_axis.axis_tlast ),
41
+ /* input */ .wr_align_last (1'b0), //can be leave 1'b0
42
+ /* output logic[OSIZE-1:0] */ .rd_data (out_axis.axis_tdata ),
43
+ /* output logic */ .rd_vld (out_axis.axis_tvalid ),
44
+ /* input */ .rd_ready (out_axis.axis_tready ),
45
+ /* output */ .rd_last (out_axis.axis_tlast )
46
+ );
47
+
48
+ endgenerate
49
+
50
+ endmodule
@@ -21,6 +21,8 @@ module axi_stream_packet_long_fifo #(
21
21
  axi_stream_inf.master axis_out
22
22
  );
23
23
 
24
+ assign axis_out.axis_tuser = '0;
25
+
24
26
  //--->> NATIVE FIFO IP <<------------------------------
25
27
  // (* dont_touch = "true" *)
26
28
  logic data_fifo_full;
@@ -26,6 +26,8 @@ module parse_big_field_table_verb #(
26
26
  axi_stream_inf.mirror cm_mirror
27
27
  );
28
28
 
29
+ localparam VSIZE = $clog2(FIELD_LEN);
30
+
29
31
  import SystemPkg::*;
30
32
 
31
33
  initial begin
@@ -78,13 +80,13 @@ always_ff@(posedge clock,negedge rst_n)begin
78
80
  else begin
79
81
  if(parse_stream.axis_tvalid && parse_stream.axis_tready && parse_stream.axis_tlast)
80
82
  region_valid <= 1'b1;
81
- else if(parse_stream.axis_tvalid && parse_stream.axis_tready && parse_stream.axis_tcnt == (FIELD_LEN-1'b1))
83
+ else if(parse_stream.axis_tvalid && parse_stream.axis_tready && parse_stream.axis_tcnt[VSIZE:0] == (FIELD_LEN-1'b1))
82
84
  region_valid <= 1'b0;
83
85
  else region_valid <= region_valid;
84
86
  end
85
87
  end
86
88
 
87
- localparam VSIZE = $clog2(FIELD_LEN);
89
+
88
90
  logic[DSIZE-1:0] value_array [0:FIELD_LEN-1];
89
91
 
90
92
  always_ff@(posedge clock,negedge rst_n)begin
@@ -107,7 +109,7 @@ always_ff@(posedge clock,negedge rst_n)begin
107
109
  if(out_valid)
108
110
  out_valid <= 1'b0;
109
111
  else out_valid <= 1'b1;
110
- else if(parse_stream.axis_tvalid && parse_stream.axis_tready && parse_stream.axis_tcnt == (FIELD_LEN-1'b1))
112
+ else if(parse_stream.axis_tvalid && parse_stream.axis_tready && parse_stream.axis_tcnt[VSIZE:0] == (FIELD_LEN-1'b1))
111
113
  out_valid <= 1'b1;
112
114
  else if(region_valid)
113
115
  out_valid <= 1'b0;
@@ -15,7 +15,7 @@ initial begin
15
15
  BRAM[0][2][3] = 14;
16
16
  BRAM[0][2][4] = 15;
17
17
 
18
- $writememh("/home/myw357/work/FPGA/acce_20201211/git_repo/wmy/axi/common/mem_format.coe",BRAM);
18
+ $writememh("./mem_format.coe",BRAM);
19
19
 
20
20
  end
21
21
 
@@ -23,8 +23,8 @@ module data_c_pipe_sync_seam #(
23
23
  //==========================================================================
24
24
  //-------- define ----------------------------------------------------------
25
25
 
26
- data_inf_c #(.DSIZE(in_inf.DSIZE)) in_inf_array[LAT-1:0] (.clock(in_inf.clock),.rst_n(in_inf.rst_n)) ;
27
- data_inf_c #(.DSIZE(out_inf.DSIZE)) out_inf_array[LAT-1:0] (.clock(out_inf.clock),.rst_n(out_inf.rst_n)) ;
26
+ data_inf_c #(.DSIZE(in_inf.DSIZE),.FreqM(in_inf.FreqM)) in_inf_array[LAT-1:0] (.clock(in_inf.clock),.rst_n(in_inf.rst_n)) ;
27
+ data_inf_c #(.DSIZE(out_inf.DSIZE),.FreqM(out_inf.FreqM)) out_inf_array[LAT-1:0] (.clock(out_inf.clock),.rst_n(out_inf.rst_n)) ;
28
28
  //==========================================================================
29
29
  //-------- instance --------------------------------------------------------
30
30
 
@@ -48,22 +48,22 @@ for(genvar KK0=0;KK0 < LAT;KK0++)begin
48
48
  endgenerate
49
49
  //-------- CLOCKs Total 2 ----------------------
50
50
  //--->> CheckClock <<----------------
51
- logic cc_done_9,cc_same_9;
52
- integer cc_afreq_9,cc_bfreq_9;
53
- ClockSameDomain CheckPClock_inst_9(
51
+ logic cc_done_10,cc_same_10;
52
+ integer cc_afreq_10,cc_bfreq_10;
53
+ ClockSameDomain CheckPClock_inst_10(
54
54
  /* input */ .aclk (in_inf.clock ),
55
55
  /* input */ .bclk (out_inf.clock ),
56
- /* output logic */ .done (cc_done_9),
57
- /* output logic */ .same (cc_same_9),
58
- /* output integer */ .aFreqK (cc_afreq_9),
59
- /* output integer */ .bFreqK (cc_bfreq_9)
56
+ /* output logic */ .done (cc_done_10),
57
+ /* output logic */ .same (cc_same_10),
58
+ /* output integer */ .aFreqK (cc_afreq_10),
59
+ /* output integer */ .bFreqK (cc_bfreq_10)
60
60
  );
61
61
 
62
62
  initial begin
63
- wait(cc_done_9);
64
- assert(cc_same_9)
63
+ wait(cc_done_10);
64
+ assert(cc_same_10)
65
65
  else begin
66
- $error("--- Error : `data_c_pipe_sync_seam` clock is not same, in_inf.clock< %0f M> != out_inf.clock<%0f M>",1000000.0/cc_afreq_9, 1000000.0/cc_bfreq_9);
66
+ $error("--- Error : `data_c_pipe_sync_seam` clock is not same, in_inf.clock< %0f M> != out_inf.clock<%0f M>",1000000.0/cc_afreq_10, 1000000.0/cc_bfreq_10);
67
67
  repeat(10)begin
68
68
  @(posedge in_inf.clock);
69
69
  end
@@ -26,7 +26,7 @@ module long_fifo_verb #(
26
26
  output empty
27
27
  );
28
28
 
29
- import GlobalPkg::FAMIRY;
29
+ import SystemPkg::FAMIRY;
30
30
 
31
31
  localparam NUM = DSIZE/4 + (DSIZE%4 != 0);
32
32