axi_tdl 0.1.3 → 0.1.19

Sign up to get free protection for your applications and to get access to all the features.
Files changed (101) hide show
  1. checksums.yaml +4 -4
  2. data/lib/axi/AXI4/axi4_direct_verc.sv +6 -0
  3. data/lib/axi/AXI4/axi4_dpram_cache.rb +3 -2
  4. data/lib/axi/AXI4/axi4_dpram_cache.sv +10 -10
  5. data/lib/axi/AXI4/axi4_ram_cache.rb +23 -0
  6. data/lib/axi/AXI4/axi4_ram_cache.sv +39 -0
  7. data/lib/axi/AXI4/axi4_rd_auxiliary_gen_A2.sv +112 -0
  8. data/lib/axi/AXI4/axi4_rd_burst_track.sv +2 -1
  9. data/lib/axi/AXI4/axi4_wr_burst_track.sv +2 -1
  10. data/lib/axi/AXI4/axis_to_axi4_wr.sv +11 -11
  11. data/lib/axi/AXI4/long_axis_to_axi4_wr.rb +113 -0
  12. data/lib/axi/AXI4/long_axis_to_axi4_wr.sv +125 -0
  13. data/lib/axi/AXI4/odata_pool_axi4_A3.sv +12 -4
  14. data/lib/axi/AXI4/packet_partition/axi4_partition_rd_verb.sv +5 -5
  15. data/lib/axi/AXI4/packet_partition/data_inf_partition.rb +8 -4
  16. data/lib/axi/AXI4/packet_partition/data_inf_partition.sv +5 -5
  17. data/lib/axi/AXI4/vcs_axi4_comptable.sv +35 -9
  18. data/lib/axi/AXI4/wide_axis_to_axi4_wr.rb +1 -1
  19. data/lib/axi/AXI4/wide_axis_to_axi4_wr.sv +8 -8
  20. data/lib/axi/AXI4/width_convert/odd_width_convert.sv +1 -1
  21. data/lib/axi/AXI_stream/axi_stream_split_channel.rb +5 -2
  22. data/lib/axi/AXI_stream/axi_stream_split_channel.sv +32 -29
  23. data/lib/axi/AXI_stream/axis_head_cut_verc.sv +10 -10
  24. data/lib/axi/AXI_stream/axis_insert_copy.sv +2 -2
  25. data/lib/axi/AXI_stream/axis_pipe_sync_seam.sv +3 -3
  26. data/lib/axi/AXI_stream/axis_rom_contect_sim.sv +5 -5
  27. data/lib/axi/AXI_stream/axis_sim_master_model.sv +2 -2
  28. data/lib/axi/AXI_stream/axis_split_channel_verb.sv +2 -2
  29. data/lib/axi/AXI_stream/data_width/axis_width_convert_verb.sv +50 -0
  30. data/lib/axi/AXI_stream/packet_fifo/axi_stream_packet_long_fifo.sv +2 -0
  31. data/lib/axi/AXI_stream/parse_big_field_table_verb.sv +5 -3
  32. data/lib/axi/common/test_write_mem.sv +1 -1
  33. data/lib/axi/data_interface/data_inf_c/data_c_pipe_sync_seam.sv +12 -12
  34. data/lib/axi/platform_ip/long_fifo_verb.sv +1 -1
  35. data/lib/axi/platform_ip/wide_fifo.sv +1 -1
  36. data/lib/axi/platform_ip/xilinx_fifo_verb.sv +1 -1
  37. data/lib/axi/platform_ip/xilinx_fifo_verc.sv +2 -1
  38. data/lib/axi/techbench/tb_axi_stream_split_channel.rb +1 -0
  39. data/lib/axi/techbench/tb_axi_stream_split_channel.sv +6 -6
  40. data/lib/axi_tdl/version.rb +1 -1
  41. data/lib/axi_tdl.rb +31 -1
  42. data/lib/public_atom_module/sim/clock_rst_verc.sv +69 -0
  43. data/lib/public_atom_module/sim_system_pkg.sv +4 -0
  44. data/lib/public_atom_module/synth_system_pkg.sv +4 -0
  45. data/lib/tdl/Logic/logic_edge.rb +14 -6
  46. data/lib/tdl/Logic/logic_latency.rb +7 -7
  47. data/lib/tdl/auto_script/autogensdl.rb +2 -3
  48. data/lib/tdl/auto_script/import_hdl.rb +41 -5
  49. data/lib/tdl/auto_script/import_sdl.rb +43 -1
  50. data/lib/tdl/axi4/axi4_interconnect_verb.rb +9 -14
  51. data/lib/tdl/class_hdl/hdl_always_ff.rb +1 -1
  52. data/lib/tdl/class_hdl/hdl_data.rb +1 -1
  53. data/lib/tdl/class_hdl/hdl_generate.rb +2 -2
  54. data/lib/tdl/examples/11_test_unit/dve.tcl +153 -6
  55. data/lib/tdl/examples/11_test_unit/exp_test_unit.rb +5 -4
  56. data/lib/tdl/examples/11_test_unit/exp_test_unit.sv +7 -33
  57. data/lib/tdl/examples/11_test_unit/exp_test_unit_sim.sv +33 -7
  58. data/lib/tdl/examples/11_test_unit/modules/sub_md0.sv +2 -2
  59. data/lib/tdl/examples/11_test_unit/modules/sub_md1.sv +2 -2
  60. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit.sv +1 -1
  61. data/lib/tdl/examples/11_test_unit/tb_exp_test_unit_sim.sv +1 -2
  62. data/lib/tdl/examples/11_test_unit/tu0.sv +4 -6
  63. data/lib/tdl/examples/2_hdl_class/tmp/always_comb_test.sv +3 -3
  64. data/lib/tdl/examples/2_hdl_class/tmp/always_ff_test.sv +3 -3
  65. data/lib/tdl/examples/2_hdl_class/tmp/case_test.sv +3 -3
  66. data/lib/tdl/examples/2_hdl_class/tmp/module_instance_test.sv +1 -1
  67. data/lib/tdl/examples/2_hdl_class/tmp/simple_assign_test.sv +3 -3
  68. data/lib/tdl/examples/2_hdl_class/tmp/state_case_test.sv +3 -3
  69. data/lib/tdl/examples/2_hdl_class/tmp/test_inst_sugar.sv +1 -1
  70. data/lib/tdl/examples/2_hdl_class/tmp/test_module.sv +2 -2
  71. data/lib/tdl/examples/2_hdl_class/tmp/test_module_port.sv +2 -2
  72. data/lib/tdl/examples/2_hdl_class/tmp/test_module_var.sv +6 -6
  73. data/lib/tdl/examples/2_hdl_class/tmp/test_vcs_string.sv +1 -1
  74. data/lib/tdl/examples/3_hdl_sdl_instance/main_md.sv +2 -2
  75. data/lib/tdl/examples/6_module_with_interface/example_interface.sv +8 -8
  76. data/lib/tdl/examples/6_module_with_interface/inf_collect.sv +9 -9
  77. data/lib/tdl/examples/8_top_module/dve.tcl +6 -0
  78. data/lib/tdl/examples/8_top_module/tb_test_top.sv +1 -2
  79. data/lib/tdl/examples/8_top_module/tb_test_top_sim.sv +1 -1
  80. data/lib/tdl/examples/8_top_module/test_top.sv +1 -1
  81. data/lib/tdl/examples/9_itegration/clock_manage/test_clock_bb.sv +2 -1
  82. data/lib/tdl/examples/9_itegration/dve.tcl +6 -0
  83. data/lib/tdl/examples/9_itegration/tb_test_tttop.sv +1 -3
  84. data/lib/tdl/examples/9_itegration/tb_test_tttop_sim.sv +1 -2
  85. data/lib/tdl/examples/9_itegration/test_tttop.sv +2 -2
  86. data/lib/tdl/exlib/axis_eth_ex.rb +1 -1
  87. data/lib/tdl/exlib/axis_verify.rb +2 -2
  88. data/lib/tdl/exlib/constraints_verb.rb +1 -0
  89. data/lib/tdl/exlib/itegration_verb.rb +45 -39
  90. data/lib/tdl/exlib/logic_verify.rb +1 -1
  91. data/lib/tdl/rebuild_ele/axi4.rb +6 -2
  92. data/lib/tdl/rebuild_ele/axi_stream.rb +3 -3
  93. data/lib/tdl/rebuild_ele/data_inf_c.rb +2 -2
  94. data/lib/tdl/rebuild_ele/ele_base.rb +7 -2
  95. data/lib/tdl/sdlmodule/sdlmodule.rb +73 -1
  96. data/lib/tdl/sdlmodule/sdlmodule_draw.rb +1 -1
  97. data/lib/tdl/sdlmodule/sdlmodule_vcs_comptable.rb +3 -3
  98. data/lib/tdl/sdlmodule/test_unit_module.rb +4 -1
  99. data/lib/tdl/sdlmodule/top_module.rb +4 -0
  100. data/lib/tdl/tdl.rb +1 -11
  101. metadata +12 -3
metadata CHANGED
@@ -1,14 +1,14 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: axi_tdl
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.1.3
4
+ version: 0.1.19
5
5
  platform: ruby
6
6
  authors:
7
7
  - Cook.Darwin
8
8
  autorequire:
9
9
  bindir: exe
10
10
  cert_chain: []
11
- date: 2021-04-03 00:00:00.000000000 Z
11
+ date: 2021-09-24 00:00:00.000000000 Z
12
12
  dependencies:
13
13
  - !ruby/object:Gem::Dependency
14
14
  name: rake
@@ -91,9 +91,12 @@ files:
91
91
  - lib/axi/AXI4/axi4_pipe/axi4_rd_pipe_verb.sv
92
92
  - lib/axi/AXI4/axi4_pipe/axi4_wr_pipe.sv
93
93
  - lib/axi/AXI4/axi4_pipe/axi4_wr_pipe_verb.sv
94
+ - lib/axi/AXI4/axi4_ram_cache.rb
95
+ - lib/axi/AXI4/axi4_ram_cache.sv
94
96
  - lib/axi/AXI4/axi4_rd_auxiliary_batch_gen.sv
95
97
  - lib/axi/AXI4/axi4_rd_auxiliary_gen.sv
96
98
  - lib/axi/AXI4/axi4_rd_auxiliary_gen_A1.sv
99
+ - lib/axi/AXI4/axi4_rd_auxiliary_gen_A2.sv
97
100
  - lib/axi/AXI4/axi4_rd_burst_track.sv
98
101
  - lib/axi/AXI4/axi4_wr_aux_bind_data.sv
99
102
  - lib/axi/AXI4/axi4_wr_auxiliary_batch_gen.sv
@@ -120,6 +123,8 @@ files:
120
123
  - lib/axi/AXI4/interconnect/axi4_wr_interconnect_M2S_A1.sv
121
124
  - lib/axi/AXI4/interconnect/axi4_wr_mix_interconnect_M2S.sv
122
125
  - lib/axi/AXI4/long_axi4_to_wide_axi4.sv.bak
126
+ - lib/axi/AXI4/long_axis_to_axi4_wr.rb
127
+ - lib/axi/AXI4/long_axis_to_axi4_wr.sv
123
128
  - lib/axi/AXI4/odata_pool_axi4.sv
124
129
  - lib/axi/AXI4/odata_pool_axi4_A1.sv
125
130
  - lib/axi/AXI4/odata_pool_axi4_A2.sv
@@ -286,6 +291,7 @@ files:
286
291
  - lib/axi/AXI_stream/data_width/axis_width_combin.sv
287
292
  - lib/axi/AXI_stream/data_width/axis_width_combin_A1.sv
288
293
  - lib/axi/AXI_stream/data_width/axis_width_convert.sv
294
+ - lib/axi/AXI_stream/data_width/axis_width_convert_verb.sv
289
295
  - lib/axi/AXI_stream/data_width/axis_width_destruct.sv
290
296
  - lib/axi/AXI_stream/data_width/axis_width_destruct_A1.sv
291
297
  - lib/axi/AXI_stream/ex_status/axis_ex_status.sv
@@ -575,8 +581,11 @@ files:
575
581
  - lib/public_atom_module/pipe_reg.v
576
582
  - lib/public_atom_module/pipe_reg_2write_ports.v
577
583
  - lib/public_atom_module/sim/clock_rst_verb.sv
584
+ - lib/public_atom_module/sim/clock_rst_verc.sv
578
585
  - lib/public_atom_module/sim/latency_long_tb.sv
579
586
  - lib/public_atom_module/sim/latency_long_tb.sv.bak
587
+ - lib/public_atom_module/sim_system_pkg.sv
588
+ - lib/public_atom_module/synth_system_pkg.sv
580
589
  - lib/spec/spec_helper.rb
581
590
  - lib/tdl/LICENSE
582
591
  - lib/tdl/Logic/Logic.tar.gz
@@ -1327,7 +1336,7 @@ required_rubygems_version: !ruby/object:Gem::Requirement
1327
1336
  - !ruby/object:Gem::Version
1328
1337
  version: '0'
1329
1338
  requirements: []
1330
- rubygems_version: 3.0.3
1339
+ rubygems_version: 3.0.3.1
1331
1340
  signing_key:
1332
1341
  specification_version: 4
1333
1342
  summary: Axi 是一个轻量级的AXI4库. Tdl 是一种硬件构造语言