ruby-vpi 18.0.2 → 19.0.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (232) hide show
  1. data/Rakefile +15 -19
  2. data/bin/generate/proto.rb +15 -10
  3. data/bin/ruby-vpi +2 -0
  4. data/doc/README +3 -5
  5. data/doc/Rakefile +3 -3
  6. data/doc/common.css +24 -136
  7. data/doc/common.tpl +48 -37
  8. data/doc/figures/figures.dia +19 -19
  9. data/doc/figures/ruby_relay.png +0 -0
  10. data/doc/history.html +252 -67
  11. data/doc/history.inc +98 -1
  12. data/doc/history.yaml +105 -0
  13. data/doc/intro.inc +43 -32
  14. data/doc/lib/doc_format.rb +19 -13
  15. data/doc/lib/doc_proxy.rb +7 -7
  16. data/doc/manual.doc +156 -117
  17. data/doc/manual.html +601 -560
  18. data/doc/memo.html +29 -25
  19. data/doc/print.css +63 -4
  20. data/doc/readme.doc +4 -6
  21. data/doc/readme.html +129 -111
  22. data/doc/rss.xml +168 -7
  23. data/doc/screen.css +146 -0
  24. data/doc/spacing.css +57 -0
  25. data/{samp → examples}/counter/RSpec/Rakefile +0 -0
  26. data/{samp → examples}/counter/RSpec/counter_design.rb +0 -0
  27. data/examples/counter/RSpec/counter_proto.rb +9 -0
  28. data/{samp → examples}/counter/RSpec/counter_runner.rake +0 -0
  29. data/{samp → examples}/counter/RSpec/counter_spec.rb +0 -0
  30. data/{samp → examples}/counter/Rakefile +0 -0
  31. data/{samp → examples}/counter/counter.v +0 -0
  32. data/{samp → examples}/counter/xUnit/Rakefile +0 -0
  33. data/{samp → examples}/counter/xUnit/counter_bench.rb +0 -0
  34. data/{samp → examples}/counter/xUnit/counter_bench.v +0 -0
  35. data/{samp → examples}/counter/xUnit/counter_design.rb +0 -0
  36. data/examples/counter/xUnit/counter_proto.rb +9 -0
  37. data/{samp → examples}/counter/xUnit/counter_runner.rake +0 -0
  38. data/{samp → examples}/counter/xUnit/counter_spec.rb +0 -0
  39. data/{samp → examples}/pipelined_alu/Hw5UnitModel.rb +0 -0
  40. data/{samp → examples}/pipelined_alu/README +0 -0
  41. data/{samp → examples}/pipelined_alu/Rakefile +0 -0
  42. data/{samp → examples}/pipelined_alu/TestHw5UnitModel.rb +0 -0
  43. data/{samp → examples}/pipelined_alu/hw5_unit.v +0 -0
  44. data/{samp → examples}/pipelined_alu/hw5_unit_design.rb +0 -7
  45. data/examples/pipelined_alu/hw5_unit_proto.rb +2 -0
  46. data/{samp → examples}/pipelined_alu/hw5_unit_runner.rake +0 -0
  47. data/{samp → examples}/pipelined_alu/hw5_unit_spec.rb +0 -0
  48. data/{samp → examples}/pipelined_alu/int_gen.rb +0 -0
  49. data/{samp → examples}/register_file/LICENSE +0 -0
  50. data/{samp → examples}/register_file/README +0 -0
  51. data/{samp → examples}/register_file/Rakefile +0 -0
  52. data/{samp → examples}/register_file/register_file.v +0 -0
  53. data/{samp → examples}/register_file/register_file_design.rb +0 -0
  54. data/examples/register_file/register_file_proto.rb +11 -0
  55. data/{samp → examples}/register_file/register_file_runner.rake +0 -0
  56. data/{samp → examples}/register_file/register_file_spec.rb +0 -0
  57. data/ext/main.c +5 -5
  58. data/ext/swig_vpi.i +6 -2
  59. data/lib/ruby-vpi/core/callback.rb +142 -0
  60. data/lib/ruby-vpi/core/edge.rb +128 -0
  61. data/lib/ruby-vpi/core/handle.rb +421 -0
  62. data/lib/ruby-vpi/core/scheduler.rb +244 -0
  63. data/lib/ruby-vpi/core/struct.rb +123 -0
  64. data/lib/ruby-vpi/core.rb +41 -0
  65. data/lib/ruby-vpi/rcov.rb +25 -12
  66. data/lib/ruby-vpi/runner.rb +30 -26
  67. data/lib/ruby-vpi/runner_boot_loader.rb +67 -37
  68. data/lib/ruby-vpi.rb +2 -2
  69. data/ref/c/annotated.html +1 -1
  70. data/ref/c/common_8h.html +1 -1
  71. data/ref/c/files.html +1 -1
  72. data/ref/c/functions.html +1 -1
  73. data/ref/c/functions_vars.html +1 -1
  74. data/ref/c/globals.html +1 -1
  75. data/ref/c/globals_0x63.html +1 -1
  76. data/ref/c/globals_0x65.html +1 -1
  77. data/ref/c/globals_0x66.html +1 -1
  78. data/ref/c/globals_0x6d.html +1 -1
  79. data/ref/c/globals_0x70.html +1 -1
  80. data/ref/c/globals_0x72.html +1 -1
  81. data/ref/c/globals_0x73.html +1 -1
  82. data/ref/c/globals_0x74.html +1 -1
  83. data/ref/c/globals_0x76.html +1 -1
  84. data/ref/c/globals_0x78.html +1 -1
  85. data/ref/c/globals_defs.html +1 -1
  86. data/ref/c/globals_defs_0x65.html +1 -1
  87. data/ref/c/globals_defs_0x70.html +1 -1
  88. data/ref/c/globals_defs_0x76.html +1 -1
  89. data/ref/c/globals_defs_0x78.html +1 -1
  90. data/ref/c/globals_enum.html +1 -1
  91. data/ref/c/globals_eval.html +1 -1
  92. data/ref/c/globals_func.html +1 -1
  93. data/ref/c/globals_type.html +1 -1
  94. data/ref/c/globals_vars.html +1 -1
  95. data/ref/c/index.html +1 -1
  96. data/ref/c/main_8c.html +1 -1
  97. data/ref/c/main_8h.html +1 -1
  98. data/ref/c/relay_8c.html +1 -1
  99. data/ref/c/relay_8h.html +1 -1
  100. data/ref/c/structt__cb__data.html +1 -1
  101. data/ref/c/structt__vpi__delay.html +1 -1
  102. data/ref/c/structt__vpi__error__info.html +1 -1
  103. data/ref/c/structt__vpi__strengthval.html +1 -1
  104. data/ref/c/structt__vpi__systf__data.html +1 -1
  105. data/ref/c/structt__vpi__time.html +1 -1
  106. data/ref/c/structt__vpi__value.html +1 -1
  107. data/ref/c/structt__vpi__vecval.html +1 -1
  108. data/ref/c/structt__vpi__vlog__info.html +1 -1
  109. data/ref/c/verilog_8h.html +1 -1
  110. data/ref/c/vlog_8c.html +1 -1
  111. data/ref/c/vlog_8h.html +1 -1
  112. data/ref/c/vpi__user_8h.html +1 -1
  113. data/ref/ruby/classes/ERB.html +7 -5
  114. data/ref/ruby/classes/ERB.src/{M000026.html → M000024.html} +0 -0
  115. data/ref/ruby/classes/FileUtils.html +11 -11
  116. data/ref/ruby/classes/FileUtils.src/{M000027.html → M000025.html} +0 -0
  117. data/ref/ruby/classes/FileUtils.src/{M000028.html → M000026.html} +0 -0
  118. data/ref/ruby/classes/Float.html +8 -6
  119. data/ref/ruby/classes/Float.src/{M000021.html → M000019.html} +0 -0
  120. data/ref/ruby/classes/Integer.html +67 -65
  121. data/ref/ruby/classes/Integer.src/M000007.html +25 -0
  122. data/ref/ruby/classes/Integer.src/{M000014.html → M000008.html} +5 -5
  123. data/ref/ruby/classes/Integer.src/M000009.html +5 -12
  124. data/ref/ruby/classes/Integer.src/M000010.html +5 -5
  125. data/ref/ruby/classes/Integer.src/M000011.html +5 -5
  126. data/ref/ruby/classes/Integer.src/M000012.html +5 -5
  127. data/ref/ruby/classes/Integer.src/M000015.html +25 -0
  128. data/ref/ruby/classes/Integer.src/M000016.html +31 -0
  129. data/ref/ruby/classes/Integer.src/M000017.html +12 -12
  130. data/ref/ruby/classes/Integer.src/M000018.html +17 -18
  131. data/ref/ruby/classes/Object.html +126 -0
  132. data/ref/ruby/classes/RDoc.html +5 -5
  133. data/ref/ruby/classes/RDoc.src/{M000061.html → M000081.html} +0 -0
  134. data/ref/ruby/classes/RubyVPI.html +50 -9
  135. data/ref/ruby/classes/String.html +22 -20
  136. data/ref/ruby/classes/String.src/M000020.html +36 -0
  137. data/ref/ruby/classes/String.src/M000021.html +41 -0
  138. data/ref/ruby/classes/String.src/M000022.html +5 -23
  139. data/ref/ruby/classes/String.src/M000023.html +5 -28
  140. data/ref/ruby/classes/{Vpi → VPI}/Handle.html +442 -140
  141. data/ref/ruby/classes/{Vpi/Handle.src/M000042.html → VPI/Handle.src/M000037.html} +4 -4
  142. data/ref/ruby/classes/VPI/Handle.src/M000038.html +21 -0
  143. data/ref/ruby/classes/VPI/Handle.src/M000039.html +18 -0
  144. data/ref/ruby/classes/{Vpi/Handle.src/M000036.html → VPI/Handle.src/M000040.html} +5 -5
  145. data/ref/ruby/classes/VPI/Handle.src/M000045.html +18 -0
  146. data/ref/ruby/classes/{Vpi/Handle.src/M000038.html → VPI/Handle.src/M000046.html} +5 -5
  147. data/ref/ruby/classes/VPI/Handle.src/M000057.html +18 -0
  148. data/ref/ruby/classes/{Vpi/Handle.src/M000040.html → VPI/Handle.src/M000058.html} +5 -5
  149. data/ref/ruby/classes/VPI/Handle.src/M000061.html +18 -0
  150. data/ref/ruby/classes/VPI/Handle.src/M000062.html +18 -0
  151. data/ref/ruby/classes/{Vpi/Handle.src/M000054.html → VPI/Handle.src/M000065.html} +11 -11
  152. data/ref/ruby/classes/VPI/Handle.src/M000067.html +21 -0
  153. data/ref/ruby/classes/VPI/Handle.src/M000068.html +28 -0
  154. data/ref/ruby/classes/VPI/Handle.src/M000069.html +50 -0
  155. data/ref/ruby/classes/{Vpi/Handle.src/M000048.html → VPI/Handle.src/M000070.html} +6 -6
  156. data/ref/ruby/classes/{Vpi/Handle.src/M000049.html → VPI/Handle.src/M000071.html} +6 -6
  157. data/ref/ruby/classes/{Vpi/Handle.src/M000050.html → VPI/Handle.src/M000072.html} +5 -5
  158. data/ref/ruby/classes/{Vpi/Handle.src/M000051.html → VPI/Handle.src/M000073.html} +17 -17
  159. data/ref/ruby/classes/VPI/Handle.src/M000075.html +18 -0
  160. data/ref/ruby/classes/VPI/Handle.src/M000076.html +40 -0
  161. data/ref/ruby/classes/{Vpi/Handle.src/M000056.html → VPI/Handle.src/M000077.html} +18 -18
  162. data/ref/ruby/classes/{Vpi → VPI}/S_vpi_time.html +22 -20
  163. data/ref/ruby/classes/VPI/S_vpi_time.src/M000078.html +18 -0
  164. data/ref/ruby/classes/VPI/S_vpi_time.src/M000079.html +19 -0
  165. data/ref/ruby/classes/{Vpi → VPI}/S_vpi_value.html +37 -23
  166. data/ref/ruby/classes/VPI/S_vpi_value.src/M000034.html +35 -0
  167. data/ref/ruby/classes/VPI/S_vpi_value.src/M000035.html +42 -0
  168. data/ref/ruby/classes/VPI/S_vpi_value.src/M000036.html +42 -0
  169. data/ref/ruby/classes/{Vpi.html → VPI.html} +129 -34
  170. data/ref/ruby/classes/VPI.src/M000027.html +19 -0
  171. data/ref/ruby/classes/VPI.src/M000028.html +18 -0
  172. data/ref/ruby/classes/VPI.src/M000029.html +19 -0
  173. data/ref/ruby/classes/VPI.src/M000031.html +25 -0
  174. data/ref/ruby/classes/VPI.src/M000032.html +26 -0
  175. data/ref/ruby/classes/VerilogParser/Module/Port.html +17 -15
  176. data/ref/ruby/classes/VerilogParser/Module/Port.src/M000004.html +23 -0
  177. data/ref/ruby/classes/VerilogParser/Module/Port.src/{M000007.html → M000005.html} +0 -0
  178. data/ref/ruby/classes/VerilogParser/Module/Port.src/M000006.html +5 -10
  179. data/ref/ruby/classes/VerilogParser/Module.html +7 -5
  180. data/ref/ruby/classes/VerilogParser/Module.src/{M000005.html → M000003.html} +0 -0
  181. data/ref/ruby/classes/VerilogParser.html +7 -5
  182. data/ref/ruby/classes/VerilogParser.src/{M000004.html → M000002.html} +0 -0
  183. data/ref/ruby/created.rid +1 -1
  184. data/ref/ruby/files/bin/generate_rb.html +2 -2
  185. data/ref/ruby/files/lib/ruby-vpi/{vpi_rb.html → core/callback_rb.html} +7 -8
  186. data/ref/ruby/files/lib/ruby-vpi/core/edge_rb.html +114 -0
  187. data/ref/ruby/files/lib/ruby-vpi/core/handle_rb.html +107 -0
  188. data/ref/ruby/files/lib/ruby-vpi/core/scheduler_rb.html +114 -0
  189. data/ref/ruby/files/lib/ruby-vpi/core/struct_rb.html +108 -0
  190. data/ref/ruby/files/lib/ruby-vpi/core_rb.html +121 -0
  191. data/ref/ruby/files/lib/ruby-vpi/rcov_rb.html +1 -1
  192. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.html +5 -41
  193. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.src/M000001.html +3 -3
  194. data/ref/ruby/files/lib/ruby-vpi/runner_rb.html +1 -1
  195. data/ref/ruby/files/lib/ruby-vpi_rb.html +1 -1
  196. data/ref/ruby/fr_class_index.html +5 -4
  197. data/ref/ruby/fr_file_index.html +6 -1
  198. data/ref/ruby/fr_method_index.html +80 -60
  199. metadata +126 -103
  200. data/ext/swig_vpi.h +0 -924
  201. data/ext/swig_wrap.cin +0 -7083
  202. data/lib/ruby-vpi/vpi.rb +0 -651
  203. data/ref/ruby/classes/Integer.src/M000013.html +0 -18
  204. data/ref/ruby/classes/Integer.src/M000019.html +0 -25
  205. data/ref/ruby/classes/Integer.src/M000020.html +0 -30
  206. data/ref/ruby/classes/String.src/M000024.html +0 -18
  207. data/ref/ruby/classes/String.src/M000025.html +0 -18
  208. data/ref/ruby/classes/VerilogParser/Module/Port.src/M000008.html +0 -18
  209. data/ref/ruby/classes/Vpi/Handle.src/M000035.html +0 -18
  210. data/ref/ruby/classes/Vpi/Handle.src/M000037.html +0 -18
  211. data/ref/ruby/classes/Vpi/Handle.src/M000039.html +0 -18
  212. data/ref/ruby/classes/Vpi/Handle.src/M000041.html +0 -18
  213. data/ref/ruby/classes/Vpi/Handle.src/M000043.html +0 -21
  214. data/ref/ruby/classes/Vpi/Handle.src/M000044.html +0 -21
  215. data/ref/ruby/classes/Vpi/Handle.src/M000045.html +0 -22
  216. data/ref/ruby/classes/Vpi/Handle.src/M000046.html +0 -50
  217. data/ref/ruby/classes/Vpi/Handle.src/M000047.html +0 -91
  218. data/ref/ruby/classes/Vpi/Handle.src/M000053.html +0 -18
  219. data/ref/ruby/classes/Vpi/Handle.src/M000057.html +0 -40
  220. data/ref/ruby/classes/Vpi/S_vpi_time.src/M000058.html +0 -18
  221. data/ref/ruby/classes/Vpi/S_vpi_time.src/M000059.html +0 -19
  222. data/ref/ruby/classes/Vpi/S_vpi_value.src/M000032.html +0 -18
  223. data/ref/ruby/classes/Vpi/S_vpi_value.src/M000033.html +0 -18
  224. data/ref/ruby/classes/Vpi/S_vpi_value.src/M000034.html +0 -18
  225. data/ref/ruby/classes/Vpi.src/M000029.html +0 -28
  226. data/ref/ruby/classes/Vpi.src/M000030.html +0 -39
  227. data/ref/ruby/classes/Vpi.src/M000031.html +0 -20
  228. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.src/M000002.html +0 -18
  229. data/samp/counter/RSpec/counter_proto.rb +0 -10
  230. data/samp/counter/xUnit/counter_proto.rb +0 -10
  231. data/samp/pipelined_alu/hw5_unit_proto.rb +0 -4
  232. data/samp/register_file/register_file_proto.rb +0 -11
@@ -56,7 +56,7 @@
56
56
  </tr>
57
57
  <tr class="top-aligned-row">
58
58
  <td><strong>Last Update:</strong></td>
59
- <td>Fri Aug 03 18:50:52 -0700 2007</td>
59
+ <td>Sun Aug 26 23:55:09 -0700 2007</td>
60
60
  </tr>
61
61
  </table>
62
62
  </div>
@@ -74,10 +74,10 @@ Initializes the test bench by setting up code coverage, the interactive <a
74
74
  href="runner_boot_loader_rb.html#M000001">debugger</a>, and so on:
75
75
  </p>
76
76
  <ol>
77
- <li>loads the design.rb file
77
+ <li>loads the design.rb file if it exists
78
78
 
79
79
  </li>
80
- <li>loads the proto.rb file if prototyping is enabled
80
+ <li>loads the proto.rb file if it exists and prototyping is enabled
81
81
 
82
82
  </li>
83
83
  <li>loads the spec.rb file
@@ -94,9 +94,10 @@ href="runner_boot_loader_rb.html#M000001">debugger</a>, and so on:
94
94
  rubygems&nbsp;&nbsp;
95
95
  ruby-vpi&nbsp;&nbsp;
96
96
  ruby-vpi/util&nbsp;&nbsp;
97
- ruby-vpi/vpi&nbsp;&nbsp;
97
+ ruby-vpi/core&nbsp;&nbsp;
98
98
  ruby-vpi/rcov&nbsp;&nbsp;
99
99
  ruby-debug&nbsp;&nbsp;
100
+ ruby-prof&nbsp;&nbsp;
100
101
  </div>
101
102
  </div>
102
103
 
@@ -106,9 +107,7 @@ href="runner_boot_loader_rb.html#M000001">debugger</a>, and so on:
106
107
  <h3 class="section-bar">Methods</h3>
107
108
 
108
109
  <div class="name-list">
109
- <a href="#M000003">const_missing</a>&nbsp;&nbsp;
110
110
  <a href="#M000001">debugger</a>&nbsp;&nbsp;
111
- <a href="#M000002">method_missing</a>&nbsp;&nbsp;
112
111
  </div>
113
112
  </div>
114
113
 
@@ -116,13 +115,6 @@ href="runner_boot_loader_rb.html#M000001">debugger</a>, and so on:
116
115
 
117
116
 
118
117
  <!-- if includes -->
119
- <div id="includes">
120
- <h3 class="section-bar">Included Modules</h3>
121
-
122
- <div id="includes-list">
123
- <span class="include-name"><a href="../../../classes/Vpi.html">Vpi</a></span>
124
- </div>
125
- </div>
126
118
 
127
119
  <div id="section">
128
120
 
@@ -137,20 +129,6 @@ href="runner_boot_loader_rb.html#M000001">debugger</a>, and so on:
137
129
  <div id="methods">
138
130
  <h3 class="section-bar">Public Instance methods</h3>
139
131
 
140
- <div id="method-M000003" class="method-detail">
141
- <a name="M000003"></a>
142
-
143
- <div class="method-heading">
144
- <span class="method-name">const_missing</span><span class="method-args">(*a, &amp;b)</span>
145
- </div>
146
-
147
- <div class="method-description">
148
- <p>
149
- Alias for <a href="runner_boot_loader_rb.html#M000002">method_missing</a>
150
- </p>
151
- </div>
152
- </div>
153
-
154
132
  <div id="method-M000001" class="method-detail">
155
133
  <a name="M000001"></a>
156
134
 
@@ -168,20 +146,6 @@ Starts an interactive debugging session.
168
146
  </div>
169
147
  </div>
170
148
 
171
- <div id="method-M000002" class="method-detail">
172
- <a name="M000002"></a>
173
-
174
- <div class="method-heading">
175
- <a href="runner_boot_loader_rb.src/M000002.html" target="Code" class="method-signature"
176
- onclick="popupCode('runner_boot_loader_rb.src/M000002.html');return false;">
177
- <span class="method-name">method_missing</span><span class="method-args">(*a, &amp;b)</span>
178
- </a>
179
- </div>
180
-
181
- <div class="method-description">
182
- </div>
183
- </div>
184
-
185
149
 
186
150
  </div>
187
151
 
@@ -10,8 +10,8 @@
10
10
  <link rel="stylesheet" href="../../../.././rdoc-style.css" type="text/css" media="screen" />
11
11
  </head>
12
12
  <body class="standalone-code">
13
- <pre> <span class="ruby-comment cmt"># File lib/ruby-vpi/runner_boot_loader.rb, line 58</span>
14
- 58: <span class="ruby-keyword kw">def</span> <span class="ruby-identifier">debugger</span>
15
- 59: <span class="ruby-keyword kw">end</span></pre>
13
+ <pre> <span class="ruby-comment cmt"># File lib/ruby-vpi/runner_boot_loader.rb, line 69</span>
14
+ 69: <span class="ruby-keyword kw">def</span> <span class="ruby-identifier">debugger</span>
15
+ 70: <span class="ruby-keyword kw">end</span></pre>
16
16
  </body>
17
17
  </html>
@@ -56,7 +56,7 @@
56
56
  </tr>
57
57
  <tr class="top-aligned-row">
58
58
  <td><strong>Last Update:</strong></td>
59
- <td>Wed Aug 01 22:55:07 -0700 2007</td>
59
+ <td>Sat Aug 18 17:00:00 -0700 2007</td>
60
60
  </tr>
61
61
  </table>
62
62
  </div>
@@ -56,7 +56,7 @@
56
56
  </tr>
57
57
  <tr class="top-aligned-row">
58
58
  <td><strong>Last Update:</strong></td>
59
- <td>Wed Aug 01 09:18:10 -0700 2007</td>
59
+ <td>Sat Aug 18 21:17:20 -0700 2007</td>
60
60
  </tr>
61
61
  </table>
62
62
  </div>
@@ -24,16 +24,17 @@
24
24
  <a href="classes/FileUtils.html">FileUtils</a><br />
25
25
  <a href="classes/Float.html">Float</a><br />
26
26
  <a href="classes/Integer.html">Integer</a><br />
27
+ <a href="classes/Object.html">Object</a><br />
27
28
  <a href="classes/RDoc.html">RDoc</a><br />
28
29
  <a href="classes/RubyVPI.html">RubyVPI</a><br />
29
30
  <a href="classes/String.html">String</a><br />
31
+ <a href="classes/VPI.html">VPI</a><br />
32
+ <a href="classes/VPI/Handle.html">VPI::Handle</a><br />
33
+ <a href="classes/VPI/S_vpi_time.html">VPI::S_vpi_time</a><br />
34
+ <a href="classes/VPI/S_vpi_value.html">VPI::S_vpi_value</a><br />
30
35
  <a href="classes/VerilogParser.html">VerilogParser</a><br />
31
36
  <a href="classes/VerilogParser/Module.html">VerilogParser::Module</a><br />
32
37
  <a href="classes/VerilogParser/Module/Port.html">VerilogParser::Module::Port</a><br />
33
- <a href="classes/Vpi.html">Vpi</a><br />
34
- <a href="classes/Vpi/Handle.html">Vpi::Handle</a><br />
35
- <a href="classes/Vpi/S_vpi_time.html">Vpi::S_vpi_time</a><br />
36
- <a href="classes/Vpi/S_vpi_value.html">Vpi::S_vpi_value</a><br />
37
38
  </div>
38
39
  </div>
39
40
  </body>
@@ -23,6 +23,12 @@
23
23
  <a href="files/bin/convert_rb.html">bin/convert.rb</a><br />
24
24
  <a href="files/bin/generate_rb.html">bin/generate.rb</a><br />
25
25
  <a href="files/lib/ruby-vpi_rb.html">lib/ruby-vpi.rb</a><br />
26
+ <a href="files/lib/ruby-vpi/core_rb.html">lib/ruby-vpi/core.rb</a><br />
27
+ <a href="files/lib/ruby-vpi/core/callback_rb.html">lib/ruby-vpi/core/callback.rb</a><br />
28
+ <a href="files/lib/ruby-vpi/core/edge_rb.html">lib/ruby-vpi/core/edge.rb</a><br />
29
+ <a href="files/lib/ruby-vpi/core/handle_rb.html">lib/ruby-vpi/core/handle.rb</a><br />
30
+ <a href="files/lib/ruby-vpi/core/scheduler_rb.html">lib/ruby-vpi/core/scheduler.rb</a><br />
31
+ <a href="files/lib/ruby-vpi/core/struct_rb.html">lib/ruby-vpi/core/struct.rb</a><br />
26
32
  <a href="files/lib/ruby-vpi/erb_rb.html">lib/ruby-vpi/erb.rb</a><br />
27
33
  <a href="files/lib/ruby-vpi/float_rb.html">lib/ruby-vpi/float.rb</a><br />
28
34
  <a href="files/lib/ruby-vpi/integer_rb.html">lib/ruby-vpi/integer.rb</a><br />
@@ -34,7 +40,6 @@
34
40
  <a href="files/lib/ruby-vpi/runner_proxy_rb.html">lib/ruby-vpi/runner_proxy.rb</a><br />
35
41
  <a href="files/lib/ruby-vpi/util_rb.html">lib/ruby-vpi/util.rb</a><br />
36
42
  <a href="files/lib/ruby-vpi/verilog_parser_rb.html">lib/ruby-vpi/verilog_parser.rb</a><br />
37
- <a href="files/lib/ruby-vpi/vpi_rb.html">lib/ruby-vpi/vpi.rb</a><br />
38
43
  </div>
39
44
  </div>
40
45
  </body>
@@ -20,67 +20,87 @@
20
20
  <div id="index">
21
21
  <h1 class="section-bar">Methods</h1>
22
22
  <div id="index-entries">
23
- <a href="classes/Vpi/Handle.html#M000053"><=> (Vpi::Handle)</a><br />
24
- <a href="classes/Vpi/Handle.html#M000051">[] (Vpi::Handle)</a><br />
25
- <a href="classes/Vpi.html#M000030">advance_time (Vpi)</a><br />
26
- <a href="classes/Vpi/Handle.html#M000056">cbValueChange (Vpi::Handle)</a><br />
27
- <a href="classes/FileUtils.html#M000028">collect_args (FileUtils)</a><br />
28
- <a href="files/lib/ruby-vpi/runner_boot_loader_rb.html#M000003">const_missing (lib/ruby-vpi/runner_boot_loader.rb)</a><br />
23
+ <a href="classes/VPI/Handle.html#M000075"><=> (VPI::Handle)</a><br />
24
+ <a href="classes/VPI/Handle.html#M000073">[] (VPI::Handle)</a><br />
25
+ <a href="classes/VPI.html#M000029">advance_time (VPI)</a><br />
26
+ <a href="classes/VPI.html#M000032">always (VPI)</a><br />
27
+ <a href="classes/VPI/Handle.html#M000077">cbValueChange (VPI::Handle)</a><br />
28
+ <a href="classes/VPI/Handle.html#M000038">change? (VPI::Handle)</a><br />
29
+ <a href="classes/FileUtils.html#M000026">collect_args (FileUtils)</a><br />
30
+ <a href="classes/VPI.html#M000028">current_time (VPI)</a><br />
29
31
  <a href="files/lib/ruby-vpi/runner_boot_loader_rb.html#M000001">debugger (lib/ruby-vpi/runner_boot_loader.rb)</a><br />
30
- <a href="classes/Integer.html#M000019">extend_sign (Integer)</a><br />
31
- <a href="classes/Vpi/Handle.html#M000048">force_value (Vpi::Handle)</a><br />
32
- <a href="classes/Vpi/Handle.html#M000046">get_value (Vpi::Handle)</a><br />
33
- <a href="classes/Vpi/Handle.html#M000045">get_value_wrapper (Vpi::Handle)</a><br />
34
- <a href="classes/Vpi/Handle.html#M000040">high! (Vpi::Handle)</a><br />
35
- <a href="classes/Vpi/Handle.html#M000039">high? (Vpi::Handle)</a><br />
36
- <a href="classes/VerilogParser/Module/Port.html#M000007">input? (VerilogParser::Module::Port)</a><br />
37
- <a href="classes/Vpi/Handle.html#M000054">inspect (Vpi::Handle)</a><br />
38
- <a href="classes/Vpi/S_vpi_time.html#M000058">integer (Vpi::S_vpi_time)</a><br />
39
- <a href="classes/Vpi/S_vpi_time.html#M000059">integer= (Vpi::S_vpi_time)</a><br />
40
- <a href="classes/Integer.html#M000010">length (Integer)</a><br />
41
- <a href="classes/Integer.html#M000011">limit (Integer)</a><br />
42
- <a href="classes/Integer.html#M000009">log2 (Integer)</a><br />
43
- <a href="classes/Vpi/Handle.html#M000042">low! (Vpi::Handle)</a><br />
44
- <a href="classes/Vpi/Handle.html#M000041">low? (Vpi::Handle)</a><br />
45
- <a href="classes/Float.html#M000021">mantissa (Float)</a><br />
46
- <a href="classes/Integer.html#M000013">mask (Integer)</a><br />
47
- <a href="classes/Integer.html#M000015">max (Integer)</a><br />
48
- <a href="files/lib/ruby-vpi/runner_boot_loader_rb.html#M000002">method_missing (lib/ruby-vpi/runner_boot_loader.rb)</a><br />
49
- <a href="classes/Vpi/Handle.html#M000057">method_missing (Vpi::Handle)</a><br />
50
- <a href="classes/Vpi/Handle.html#M000044">negedge? (Vpi::Handle)</a><br />
51
- <a href="classes/VerilogParser/Module/Port.html#M000006">new (VerilogParser::Module::Port)</a><br />
52
- <a href="classes/ERB.html#M000026">new (ERB)</a><br />
53
- <a href="classes/VerilogParser/Module.html#M000005">new (VerilogParser::Module)</a><br />
54
- <a href="classes/VerilogParser.html#M000004">new (VerilogParser)</a><br />
55
- <a href="classes/VerilogParser/Module/Port.html#M000008">output? (VerilogParser::Module::Port)</a><br />
56
- <a href="classes/Integer.html#M000017">pack (Integer)</a><br />
57
- <a href="classes/Vpi/Handle.html#M000043">posedge? (Vpi::Handle)</a><br />
58
- <a href="classes/Vpi/Handle.html#M000047">put_value (Vpi::Handle)</a><br />
59
- <a href="classes/Vpi/Handle.html#M000049">release_value (Vpi::Handle)</a><br />
60
- <a href="classes/String.html#M000025">rstrip_from (String)</a><br />
61
- <a href="classes/FileUtils.html#M000027">sh (FileUtils)</a><br />
62
- <a href="classes/Vpi.html#M000031">simulation_time (Vpi)</a><br />
63
- <a href="classes/Integer.html#M000020">split (Integer)</a><br />
64
- <a href="classes/Vpi/Handle.html#M000052">to_a (Vpi::Handle)</a><br />
65
- <a href="classes/String.html#M000022">to_f (String)</a><br />
66
- <a href="classes/Vpi/S_vpi_value.html#M000033">to_f (Vpi::S_vpi_value)</a><br />
67
- <a href="classes/Vpi/S_vpi_time.html#M000060">to_i (Vpi::S_vpi_time)</a><br />
68
- <a href="classes/Vpi/S_vpi_value.html#M000032">to_i (Vpi::S_vpi_value)</a><br />
69
- <a href="classes/Integer.html#M000012">to_limit (Integer)</a><br />
70
- <a href="classes/Integer.html#M000014">to_mask (Integer)</a><br />
71
- <a href="classes/Integer.html#M000016">to_max (Integer)</a><br />
72
- <a href="classes/String.html#M000024">to_ruby_const_name (String)</a><br />
73
- <a href="classes/Vpi/S_vpi_value.html#M000034">to_s (Vpi::S_vpi_value)</a><br />
74
- <a href="classes/Vpi/Handle.html#M000055">to_s (Vpi::Handle)</a><br />
75
- <a href="classes/Integer.html#M000018">unpack (Integer)</a><br />
76
- <a href="classes/RDoc.html#M000061">usage_from_file (RDoc)</a><br />
77
- <a href="classes/Vpi/Handle.html#M000050">value_forced? (Vpi::Handle)</a><br />
78
- <a href="classes/String.html#M000023">verilog_to_ruby (String)</a><br />
79
- <a href="classes/Vpi.html#M000029">vpi_register_cb (Vpi)</a><br />
80
- <a href="classes/Vpi/Handle.html#M000036">x! (Vpi::Handle)</a><br />
81
- <a href="classes/Vpi/Handle.html#M000035">x? (Vpi::Handle)</a><br />
82
- <a href="classes/Vpi/Handle.html#M000038">z! (Vpi::Handle)</a><br />
83
- <a href="classes/Vpi/Handle.html#M000037">z? (Vpi::Handle)</a><br />
32
+ <a href="classes/VPI/S_vpi_value.html#M000034">detect_format (VPI::S_vpi_value)</a><br />
33
+ <a href="classes/VPI/Handle.html#M000044">dont_care! (VPI::Handle)</a><br />
34
+ <a href="classes/VPI/Handle.html#M000043">dont_care? (VPI::Handle)</a><br />
35
+ <a href="classes/VPI/Handle.html#M000037">edge? (VPI::Handle)</a><br />
36
+ <a href="classes/Integer.html#M000017">extend_sign (Integer)</a><br />
37
+ <a href="classes/VPI/Handle.html#M000056">floating! (VPI::Handle)</a><br />
38
+ <a href="classes/VPI/Handle.html#M000055">floating? (VPI::Handle)</a><br />
39
+ <a href="classes/VPI/Handle.html#M000072">force? (VPI::Handle)</a><br />
40
+ <a href="classes/VPI/Handle.html#M000070">force_value (VPI::Handle)</a><br />
41
+ <a href="classes/VPI.html#M000033">forever (VPI)</a><br />
42
+ <a href="classes/VPI/Handle.html#M000068">get_value (VPI::Handle)</a><br />
43
+ <a href="classes/VPI/Handle.html#M000067">get_value_wrapper (VPI::Handle)</a><br />
44
+ <a href="classes/VPI/Handle.html#M000048">hi_z! (VPI::Handle)</a><br />
45
+ <a href="classes/VPI/Handle.html#M000047">hi_z? (VPI::Handle)</a><br />
46
+ <a href="classes/VPI/Handle.html#M000058">high! (VPI::Handle)</a><br />
47
+ <a href="classes/VPI/Handle.html#M000057">high? (VPI::Handle)</a><br />
48
+ <a href="classes/VPI/Handle.html#M000052">high_impedance! (VPI::Handle)</a><br />
49
+ <a href="classes/VPI/Handle.html#M000051">high_impedance? (VPI::Handle)</a><br />
50
+ <a href="classes/VPI/Handle.html#M000050">high_z! (VPI::Handle)</a><br />
51
+ <a href="classes/VPI/Handle.html#M000049">high_z? (VPI::Handle)</a><br />
52
+ <a href="classes/VerilogParser/Module/Port.html#M000005">input? (VerilogParser::Module::Port)</a><br />
53
+ <a href="classes/VPI/Handle.html#M000065">inspect (VPI::Handle)</a><br />
54
+ <a href="classes/VPI/S_vpi_time.html#M000078">integer (VPI::S_vpi_time)</a><br />
55
+ <a href="classes/VPI/S_vpi_time.html#M000079">integer= (VPI::S_vpi_time)</a><br />
56
+ <a href="classes/Integer.html#M000008">length (Integer)</a><br />
57
+ <a href="classes/Integer.html#M000009">limit (Integer)</a><br />
58
+ <a href="classes/Integer.html#M000007">log2 (Integer)</a><br />
59
+ <a href="classes/VPI/Handle.html#M000062">low! (VPI::Handle)</a><br />
60
+ <a href="classes/VPI/Handle.html#M000061">low? (VPI::Handle)</a><br />
61
+ <a href="classes/Float.html#M000019">mantissa (Float)</a><br />
62
+ <a href="classes/Integer.html#M000011">mask (Integer)</a><br />
63
+ <a href="classes/Integer.html#M000013">max (Integer)</a><br />
64
+ <a href="classes/VPI/Handle.html#M000076">method_missing (VPI::Handle)</a><br />
65
+ <a href="classes/VerilogParser/Module.html#M000003">new (VerilogParser::Module)</a><br />
66
+ <a href="classes/VerilogParser.html#M000002">new (VerilogParser)</a><br />
67
+ <a href="classes/ERB.html#M000024">new (ERB)</a><br />
68
+ <a href="classes/VerilogParser/Module/Port.html#M000004">new (VerilogParser::Module::Port)</a><br />
69
+ <a href="classes/VPI/Handle.html#M000060">one! (VPI::Handle)</a><br />
70
+ <a href="classes/VPI/Handle.html#M000059">one? (VPI::Handle)</a><br />
71
+ <a href="classes/VerilogParser/Module/Port.html#M000006">output? (VerilogParser::Module::Port)</a><br />
72
+ <a href="classes/Integer.html#M000015">pack (Integer)</a><br />
73
+ <a href="classes/VPI.html#M000031">process (VPI)</a><br />
74
+ <a href="classes/VPI/Handle.html#M000069">put_value (VPI::Handle)</a><br />
75
+ <a href="classes/VPI/S_vpi_value.html#M000036">read (VPI::S_vpi_value)</a><br />
76
+ <a href="classes/VPI/Handle.html#M000071">release_value (VPI::Handle)</a><br />
77
+ <a href="classes/String.html#M000023">rstrip_from (String)</a><br />
78
+ <a href="classes/FileUtils.html#M000025">sh (FileUtils)</a><br />
79
+ <a href="classes/Integer.html#M000018">split (Integer)</a><br />
80
+ <a href="classes/VPI/Handle.html#M000074">to_a (VPI::Handle)</a><br />
81
+ <a href="classes/String.html#M000020">to_f (String)</a><br />
82
+ <a href="classes/VPI/S_vpi_time.html#M000080">to_i (VPI::S_vpi_time)</a><br />
83
+ <a href="classes/Integer.html#M000010">to_limit (Integer)</a><br />
84
+ <a href="classes/Integer.html#M000012">to_mask (Integer)</a><br />
85
+ <a href="classes/Integer.html#M000014">to_max (Integer)</a><br />
86
+ <a href="classes/String.html#M000022">to_ruby_const_name (String)</a><br />
87
+ <a href="classes/VPI/Handle.html#M000066">to_s (VPI::Handle)</a><br />
88
+ <a href="classes/VPI/Handle.html#M000054">tri_state! (VPI::Handle)</a><br />
89
+ <a href="classes/VPI/Handle.html#M000053">tri_state? (VPI::Handle)</a><br />
90
+ <a href="classes/VPI/Handle.html#M000042">unknown! (VPI::Handle)</a><br />
91
+ <a href="classes/VPI/Handle.html#M000041">unknown? (VPI::Handle)</a><br />
92
+ <a href="classes/Integer.html#M000016">unpack (Integer)</a><br />
93
+ <a href="classes/RDoc.html#M000081">usage_from_file (RDoc)</a><br />
94
+ <a href="classes/String.html#M000021">verilog_to_ruby (String)</a><br />
95
+ <a href="classes/VPI.html#M000027">vpi_register_cb (VPI)</a><br />
96
+ <a href="classes/VPI.html#M000030">wait (VPI)</a><br />
97
+ <a href="classes/VPI/S_vpi_value.html#M000035">write (VPI::S_vpi_value)</a><br />
98
+ <a href="classes/VPI/Handle.html#M000040">x! (VPI::Handle)</a><br />
99
+ <a href="classes/VPI/Handle.html#M000039">x? (VPI::Handle)</a><br />
100
+ <a href="classes/VPI/Handle.html#M000046">z! (VPI::Handle)</a><br />
101
+ <a href="classes/VPI/Handle.html#M000045">z? (VPI::Handle)</a><br />
102
+ <a href="classes/VPI/Handle.html#M000064">zero! (VPI::Handle)</a><br />
103
+ <a href="classes/VPI/Handle.html#M000063">zero? (VPI::Handle)</a><br />
84
104
  </div>
85
105
  </div>
86
106
  </body>