ruby-vpi 18.0.2 → 19.0.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (232) hide show
  1. data/Rakefile +15 -19
  2. data/bin/generate/proto.rb +15 -10
  3. data/bin/ruby-vpi +2 -0
  4. data/doc/README +3 -5
  5. data/doc/Rakefile +3 -3
  6. data/doc/common.css +24 -136
  7. data/doc/common.tpl +48 -37
  8. data/doc/figures/figures.dia +19 -19
  9. data/doc/figures/ruby_relay.png +0 -0
  10. data/doc/history.html +252 -67
  11. data/doc/history.inc +98 -1
  12. data/doc/history.yaml +105 -0
  13. data/doc/intro.inc +43 -32
  14. data/doc/lib/doc_format.rb +19 -13
  15. data/doc/lib/doc_proxy.rb +7 -7
  16. data/doc/manual.doc +156 -117
  17. data/doc/manual.html +601 -560
  18. data/doc/memo.html +29 -25
  19. data/doc/print.css +63 -4
  20. data/doc/readme.doc +4 -6
  21. data/doc/readme.html +129 -111
  22. data/doc/rss.xml +168 -7
  23. data/doc/screen.css +146 -0
  24. data/doc/spacing.css +57 -0
  25. data/{samp → examples}/counter/RSpec/Rakefile +0 -0
  26. data/{samp → examples}/counter/RSpec/counter_design.rb +0 -0
  27. data/examples/counter/RSpec/counter_proto.rb +9 -0
  28. data/{samp → examples}/counter/RSpec/counter_runner.rake +0 -0
  29. data/{samp → examples}/counter/RSpec/counter_spec.rb +0 -0
  30. data/{samp → examples}/counter/Rakefile +0 -0
  31. data/{samp → examples}/counter/counter.v +0 -0
  32. data/{samp → examples}/counter/xUnit/Rakefile +0 -0
  33. data/{samp → examples}/counter/xUnit/counter_bench.rb +0 -0
  34. data/{samp → examples}/counter/xUnit/counter_bench.v +0 -0
  35. data/{samp → examples}/counter/xUnit/counter_design.rb +0 -0
  36. data/examples/counter/xUnit/counter_proto.rb +9 -0
  37. data/{samp → examples}/counter/xUnit/counter_runner.rake +0 -0
  38. data/{samp → examples}/counter/xUnit/counter_spec.rb +0 -0
  39. data/{samp → examples}/pipelined_alu/Hw5UnitModel.rb +0 -0
  40. data/{samp → examples}/pipelined_alu/README +0 -0
  41. data/{samp → examples}/pipelined_alu/Rakefile +0 -0
  42. data/{samp → examples}/pipelined_alu/TestHw5UnitModel.rb +0 -0
  43. data/{samp → examples}/pipelined_alu/hw5_unit.v +0 -0
  44. data/{samp → examples}/pipelined_alu/hw5_unit_design.rb +0 -7
  45. data/examples/pipelined_alu/hw5_unit_proto.rb +2 -0
  46. data/{samp → examples}/pipelined_alu/hw5_unit_runner.rake +0 -0
  47. data/{samp → examples}/pipelined_alu/hw5_unit_spec.rb +0 -0
  48. data/{samp → examples}/pipelined_alu/int_gen.rb +0 -0
  49. data/{samp → examples}/register_file/LICENSE +0 -0
  50. data/{samp → examples}/register_file/README +0 -0
  51. data/{samp → examples}/register_file/Rakefile +0 -0
  52. data/{samp → examples}/register_file/register_file.v +0 -0
  53. data/{samp → examples}/register_file/register_file_design.rb +0 -0
  54. data/examples/register_file/register_file_proto.rb +11 -0
  55. data/{samp → examples}/register_file/register_file_runner.rake +0 -0
  56. data/{samp → examples}/register_file/register_file_spec.rb +0 -0
  57. data/ext/main.c +5 -5
  58. data/ext/swig_vpi.i +6 -2
  59. data/lib/ruby-vpi/core/callback.rb +142 -0
  60. data/lib/ruby-vpi/core/edge.rb +128 -0
  61. data/lib/ruby-vpi/core/handle.rb +421 -0
  62. data/lib/ruby-vpi/core/scheduler.rb +244 -0
  63. data/lib/ruby-vpi/core/struct.rb +123 -0
  64. data/lib/ruby-vpi/core.rb +41 -0
  65. data/lib/ruby-vpi/rcov.rb +25 -12
  66. data/lib/ruby-vpi/runner.rb +30 -26
  67. data/lib/ruby-vpi/runner_boot_loader.rb +67 -37
  68. data/lib/ruby-vpi.rb +2 -2
  69. data/ref/c/annotated.html +1 -1
  70. data/ref/c/common_8h.html +1 -1
  71. data/ref/c/files.html +1 -1
  72. data/ref/c/functions.html +1 -1
  73. data/ref/c/functions_vars.html +1 -1
  74. data/ref/c/globals.html +1 -1
  75. data/ref/c/globals_0x63.html +1 -1
  76. data/ref/c/globals_0x65.html +1 -1
  77. data/ref/c/globals_0x66.html +1 -1
  78. data/ref/c/globals_0x6d.html +1 -1
  79. data/ref/c/globals_0x70.html +1 -1
  80. data/ref/c/globals_0x72.html +1 -1
  81. data/ref/c/globals_0x73.html +1 -1
  82. data/ref/c/globals_0x74.html +1 -1
  83. data/ref/c/globals_0x76.html +1 -1
  84. data/ref/c/globals_0x78.html +1 -1
  85. data/ref/c/globals_defs.html +1 -1
  86. data/ref/c/globals_defs_0x65.html +1 -1
  87. data/ref/c/globals_defs_0x70.html +1 -1
  88. data/ref/c/globals_defs_0x76.html +1 -1
  89. data/ref/c/globals_defs_0x78.html +1 -1
  90. data/ref/c/globals_enum.html +1 -1
  91. data/ref/c/globals_eval.html +1 -1
  92. data/ref/c/globals_func.html +1 -1
  93. data/ref/c/globals_type.html +1 -1
  94. data/ref/c/globals_vars.html +1 -1
  95. data/ref/c/index.html +1 -1
  96. data/ref/c/main_8c.html +1 -1
  97. data/ref/c/main_8h.html +1 -1
  98. data/ref/c/relay_8c.html +1 -1
  99. data/ref/c/relay_8h.html +1 -1
  100. data/ref/c/structt__cb__data.html +1 -1
  101. data/ref/c/structt__vpi__delay.html +1 -1
  102. data/ref/c/structt__vpi__error__info.html +1 -1
  103. data/ref/c/structt__vpi__strengthval.html +1 -1
  104. data/ref/c/structt__vpi__systf__data.html +1 -1
  105. data/ref/c/structt__vpi__time.html +1 -1
  106. data/ref/c/structt__vpi__value.html +1 -1
  107. data/ref/c/structt__vpi__vecval.html +1 -1
  108. data/ref/c/structt__vpi__vlog__info.html +1 -1
  109. data/ref/c/verilog_8h.html +1 -1
  110. data/ref/c/vlog_8c.html +1 -1
  111. data/ref/c/vlog_8h.html +1 -1
  112. data/ref/c/vpi__user_8h.html +1 -1
  113. data/ref/ruby/classes/ERB.html +7 -5
  114. data/ref/ruby/classes/ERB.src/{M000026.html → M000024.html} +0 -0
  115. data/ref/ruby/classes/FileUtils.html +11 -11
  116. data/ref/ruby/classes/FileUtils.src/{M000027.html → M000025.html} +0 -0
  117. data/ref/ruby/classes/FileUtils.src/{M000028.html → M000026.html} +0 -0
  118. data/ref/ruby/classes/Float.html +8 -6
  119. data/ref/ruby/classes/Float.src/{M000021.html → M000019.html} +0 -0
  120. data/ref/ruby/classes/Integer.html +67 -65
  121. data/ref/ruby/classes/Integer.src/M000007.html +25 -0
  122. data/ref/ruby/classes/Integer.src/{M000014.html → M000008.html} +5 -5
  123. data/ref/ruby/classes/Integer.src/M000009.html +5 -12
  124. data/ref/ruby/classes/Integer.src/M000010.html +5 -5
  125. data/ref/ruby/classes/Integer.src/M000011.html +5 -5
  126. data/ref/ruby/classes/Integer.src/M000012.html +5 -5
  127. data/ref/ruby/classes/Integer.src/M000015.html +25 -0
  128. data/ref/ruby/classes/Integer.src/M000016.html +31 -0
  129. data/ref/ruby/classes/Integer.src/M000017.html +12 -12
  130. data/ref/ruby/classes/Integer.src/M000018.html +17 -18
  131. data/ref/ruby/classes/Object.html +126 -0
  132. data/ref/ruby/classes/RDoc.html +5 -5
  133. data/ref/ruby/classes/RDoc.src/{M000061.html → M000081.html} +0 -0
  134. data/ref/ruby/classes/RubyVPI.html +50 -9
  135. data/ref/ruby/classes/String.html +22 -20
  136. data/ref/ruby/classes/String.src/M000020.html +36 -0
  137. data/ref/ruby/classes/String.src/M000021.html +41 -0
  138. data/ref/ruby/classes/String.src/M000022.html +5 -23
  139. data/ref/ruby/classes/String.src/M000023.html +5 -28
  140. data/ref/ruby/classes/{Vpi → VPI}/Handle.html +442 -140
  141. data/ref/ruby/classes/{Vpi/Handle.src/M000042.html → VPI/Handle.src/M000037.html} +4 -4
  142. data/ref/ruby/classes/VPI/Handle.src/M000038.html +21 -0
  143. data/ref/ruby/classes/VPI/Handle.src/M000039.html +18 -0
  144. data/ref/ruby/classes/{Vpi/Handle.src/M000036.html → VPI/Handle.src/M000040.html} +5 -5
  145. data/ref/ruby/classes/VPI/Handle.src/M000045.html +18 -0
  146. data/ref/ruby/classes/{Vpi/Handle.src/M000038.html → VPI/Handle.src/M000046.html} +5 -5
  147. data/ref/ruby/classes/VPI/Handle.src/M000057.html +18 -0
  148. data/ref/ruby/classes/{Vpi/Handle.src/M000040.html → VPI/Handle.src/M000058.html} +5 -5
  149. data/ref/ruby/classes/VPI/Handle.src/M000061.html +18 -0
  150. data/ref/ruby/classes/VPI/Handle.src/M000062.html +18 -0
  151. data/ref/ruby/classes/{Vpi/Handle.src/M000054.html → VPI/Handle.src/M000065.html} +11 -11
  152. data/ref/ruby/classes/VPI/Handle.src/M000067.html +21 -0
  153. data/ref/ruby/classes/VPI/Handle.src/M000068.html +28 -0
  154. data/ref/ruby/classes/VPI/Handle.src/M000069.html +50 -0
  155. data/ref/ruby/classes/{Vpi/Handle.src/M000048.html → VPI/Handle.src/M000070.html} +6 -6
  156. data/ref/ruby/classes/{Vpi/Handle.src/M000049.html → VPI/Handle.src/M000071.html} +6 -6
  157. data/ref/ruby/classes/{Vpi/Handle.src/M000050.html → VPI/Handle.src/M000072.html} +5 -5
  158. data/ref/ruby/classes/{Vpi/Handle.src/M000051.html → VPI/Handle.src/M000073.html} +17 -17
  159. data/ref/ruby/classes/VPI/Handle.src/M000075.html +18 -0
  160. data/ref/ruby/classes/VPI/Handle.src/M000076.html +40 -0
  161. data/ref/ruby/classes/{Vpi/Handle.src/M000056.html → VPI/Handle.src/M000077.html} +18 -18
  162. data/ref/ruby/classes/{Vpi → VPI}/S_vpi_time.html +22 -20
  163. data/ref/ruby/classes/VPI/S_vpi_time.src/M000078.html +18 -0
  164. data/ref/ruby/classes/VPI/S_vpi_time.src/M000079.html +19 -0
  165. data/ref/ruby/classes/{Vpi → VPI}/S_vpi_value.html +37 -23
  166. data/ref/ruby/classes/VPI/S_vpi_value.src/M000034.html +35 -0
  167. data/ref/ruby/classes/VPI/S_vpi_value.src/M000035.html +42 -0
  168. data/ref/ruby/classes/VPI/S_vpi_value.src/M000036.html +42 -0
  169. data/ref/ruby/classes/{Vpi.html → VPI.html} +129 -34
  170. data/ref/ruby/classes/VPI.src/M000027.html +19 -0
  171. data/ref/ruby/classes/VPI.src/M000028.html +18 -0
  172. data/ref/ruby/classes/VPI.src/M000029.html +19 -0
  173. data/ref/ruby/classes/VPI.src/M000031.html +25 -0
  174. data/ref/ruby/classes/VPI.src/M000032.html +26 -0
  175. data/ref/ruby/classes/VerilogParser/Module/Port.html +17 -15
  176. data/ref/ruby/classes/VerilogParser/Module/Port.src/M000004.html +23 -0
  177. data/ref/ruby/classes/VerilogParser/Module/Port.src/{M000007.html → M000005.html} +0 -0
  178. data/ref/ruby/classes/VerilogParser/Module/Port.src/M000006.html +5 -10
  179. data/ref/ruby/classes/VerilogParser/Module.html +7 -5
  180. data/ref/ruby/classes/VerilogParser/Module.src/{M000005.html → M000003.html} +0 -0
  181. data/ref/ruby/classes/VerilogParser.html +7 -5
  182. data/ref/ruby/classes/VerilogParser.src/{M000004.html → M000002.html} +0 -0
  183. data/ref/ruby/created.rid +1 -1
  184. data/ref/ruby/files/bin/generate_rb.html +2 -2
  185. data/ref/ruby/files/lib/ruby-vpi/{vpi_rb.html → core/callback_rb.html} +7 -8
  186. data/ref/ruby/files/lib/ruby-vpi/core/edge_rb.html +114 -0
  187. data/ref/ruby/files/lib/ruby-vpi/core/handle_rb.html +107 -0
  188. data/ref/ruby/files/lib/ruby-vpi/core/scheduler_rb.html +114 -0
  189. data/ref/ruby/files/lib/ruby-vpi/core/struct_rb.html +108 -0
  190. data/ref/ruby/files/lib/ruby-vpi/core_rb.html +121 -0
  191. data/ref/ruby/files/lib/ruby-vpi/rcov_rb.html +1 -1
  192. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.html +5 -41
  193. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.src/M000001.html +3 -3
  194. data/ref/ruby/files/lib/ruby-vpi/runner_rb.html +1 -1
  195. data/ref/ruby/files/lib/ruby-vpi_rb.html +1 -1
  196. data/ref/ruby/fr_class_index.html +5 -4
  197. data/ref/ruby/fr_file_index.html +6 -1
  198. data/ref/ruby/fr_method_index.html +80 -60
  199. metadata +126 -103
  200. data/ext/swig_vpi.h +0 -924
  201. data/ext/swig_wrap.cin +0 -7083
  202. data/lib/ruby-vpi/vpi.rb +0 -651
  203. data/ref/ruby/classes/Integer.src/M000013.html +0 -18
  204. data/ref/ruby/classes/Integer.src/M000019.html +0 -25
  205. data/ref/ruby/classes/Integer.src/M000020.html +0 -30
  206. data/ref/ruby/classes/String.src/M000024.html +0 -18
  207. data/ref/ruby/classes/String.src/M000025.html +0 -18
  208. data/ref/ruby/classes/VerilogParser/Module/Port.src/M000008.html +0 -18
  209. data/ref/ruby/classes/Vpi/Handle.src/M000035.html +0 -18
  210. data/ref/ruby/classes/Vpi/Handle.src/M000037.html +0 -18
  211. data/ref/ruby/classes/Vpi/Handle.src/M000039.html +0 -18
  212. data/ref/ruby/classes/Vpi/Handle.src/M000041.html +0 -18
  213. data/ref/ruby/classes/Vpi/Handle.src/M000043.html +0 -21
  214. data/ref/ruby/classes/Vpi/Handle.src/M000044.html +0 -21
  215. data/ref/ruby/classes/Vpi/Handle.src/M000045.html +0 -22
  216. data/ref/ruby/classes/Vpi/Handle.src/M000046.html +0 -50
  217. data/ref/ruby/classes/Vpi/Handle.src/M000047.html +0 -91
  218. data/ref/ruby/classes/Vpi/Handle.src/M000053.html +0 -18
  219. data/ref/ruby/classes/Vpi/Handle.src/M000057.html +0 -40
  220. data/ref/ruby/classes/Vpi/S_vpi_time.src/M000058.html +0 -18
  221. data/ref/ruby/classes/Vpi/S_vpi_time.src/M000059.html +0 -19
  222. data/ref/ruby/classes/Vpi/S_vpi_value.src/M000032.html +0 -18
  223. data/ref/ruby/classes/Vpi/S_vpi_value.src/M000033.html +0 -18
  224. data/ref/ruby/classes/Vpi/S_vpi_value.src/M000034.html +0 -18
  225. data/ref/ruby/classes/Vpi.src/M000029.html +0 -28
  226. data/ref/ruby/classes/Vpi.src/M000030.html +0 -39
  227. data/ref/ruby/classes/Vpi.src/M000031.html +0 -20
  228. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.src/M000002.html +0 -18
  229. data/samp/counter/RSpec/counter_proto.rb +0 -10
  230. data/samp/counter/xUnit/counter_proto.rb +0 -10
  231. data/samp/pipelined_alu/hw5_unit_proto.rb +0 -4
  232. data/samp/register_file/register_file_proto.rb +0 -11
@@ -1,8 +1,8 @@
1
1
  # Initializes the test bench by setting up code
2
2
  # coverage, the interactive debugger, and so on:
3
3
  #
4
- # 1. loads the design.rb file
5
- # 2. loads the proto.rb file if prototyping is enabled
4
+ # 1. loads the design.rb file if it exists
5
+ # 2. loads the proto.rb file if it exists and prototyping is enabled
6
6
  # 3. loads the spec.rb file
7
7
  #--
8
8
  # Copyright 2006 Suraj N. Kurapati
@@ -11,44 +11,55 @@
11
11
  # return control to the simulator before Ruby exits.
12
12
  # otherwise, the simulator will not have a chance to do
13
13
  # any clean up or finish any pending tasks that remain
14
- at_exit {relay_verilog unless $!}
14
+ at_exit { VPI::__extension__relay_verilog unless $! }
15
15
 
16
16
 
17
- require 'rubygems'
17
+ begin
18
+ require 'rubygems'
19
+ rescue LoadError
20
+ end
21
+
18
22
  require 'ruby-vpi'
19
23
  require 'ruby-vpi/util'
24
+ require 'ruby-vpi/core'
20
25
 
21
- designName = ENV['RUBYVPI_BOOT_TARGET']
22
26
 
23
- useDebugger = ENV['DEBUGGER'].to_i == 1
24
- useCoverage = ENV['COVERAGE'].to_i == 1
25
- usePrototype = ENV['PROTOTYPE'].to_i == 1
27
+ designName = ENV['RUBYVPI_BOOT_TARGET']
26
28
 
27
29
  # set up code coverage analysis
28
- require 'ruby-vpi/vpi' # XXX: this is loaded *before* RCov to
29
- # prevent coverage statistics about it
30
-
31
- if useCoverage
30
+ if RubyVPI::USE_COVERAGE
32
31
  require 'ruby-vpi/rcov'
33
32
 
34
- RubyVPI.with_coverage_analysis do |a|
35
- a.dump_coverage_info [
36
- Rcov::TextReport.new,
37
- Rcov::HTMLCoverage.new(:destdir => "#{designName}_coverage")
38
- ]
33
+ outFile = "#{designName}_coverage.txt"
34
+
35
+ RubyVPI::Coverage.attach do |analysis|
36
+ begin
37
+ File.open(outFile, 'w') do |f|
38
+ STDOUT.flush
39
+ $stdout = f
40
+
41
+ analysis.dump_coverage_info [
42
+ Rcov::TextReport.new,
43
+ Rcov::FullTextReport.new(:textmode => :counts),
44
+ ]
45
+ end
46
+ ensure
47
+ $stdout = STDOUT
48
+ end
39
49
  end
40
50
 
41
- RubyVPI.say 'coverage analysis is enabled'
51
+ RubyVPI::Coverage.start
52
+ RubyVPI.say "coverage analysis is enabled; results stored in #{outFile}"
42
53
  end
43
54
 
44
55
  # set up the interactive debugger
45
- if useDebugger
56
+ if RubyVPI::USE_DEBUGGER
46
57
  require 'ruby-debug'
47
58
 
48
59
  Debugger.start
49
60
  Debugger.post_mortem
50
61
 
51
- RubyVPI.say 'debugger is enabled'
62
+ RubyVPI.say 'interactive debugger is enabled'
52
63
  end
53
64
 
54
65
  # suppress undefined method errors when debugger is not enabled
@@ -60,12 +71,31 @@ usePrototype = ENV['PROTOTYPE'].to_i == 1
60
71
  end
61
72
  end
62
73
 
63
- # set up the VPI utility layer
64
- Object.class_eval do
65
- include Vpi
74
+ # set up the profiler
75
+ if RubyVPI::USE_PROFILER
76
+ require 'ruby-prof'
77
+
78
+ RubyProf.start
79
+
80
+ outFile = "#{designName}_profile.txt"
81
+
82
+ at_exit do
83
+ result = RubyProf.stop
84
+ printer = RubyProf::GraphPrinter.new(result)
85
+
86
+ File.open(outFile, 'w') do |out|
87
+ printer.print(out)
88
+ end
89
+ end
90
+
91
+ RubyVPI.say "performance analysis is enabled; results stored in #{outFile}"
66
92
  end
67
93
 
68
94
  # load the design under test
95
+ class Object
96
+ include VPI
97
+ end
98
+
69
99
  unless designHandle = vpi_handle_by_name(designName, nil)
70
100
  raise "cannot access the design under test: #{designName.inspect}"
71
101
  end
@@ -78,20 +108,20 @@ usePrototype = ENV['PROTOTYPE'].to_i == 1
78
108
 
79
109
  # delegate all instance methods to the DUT
80
110
  instance_eval do
81
- def method_missing(*a, &b)
111
+ def method_missing(*a, &b) #:nodoc:
82
112
  @@design.__send__(*a, &b)
83
113
  end
84
114
 
85
- alias const_missing method_missing
86
-
87
- # so that #inspect executes on the DUT instead of this wrapper
115
+ # pass these methods to method_missing
88
116
  undef to_s
89
117
  undef inspect
118
+ undef type
119
+ undef respond_to?
90
120
  end
91
121
 
92
122
  # make module parameters available as constants
93
123
  @@design[VpiParameter, VpiLocalParam].each do |var|
94
- const_set(var.name.to_ruby_const_name, var.intVal)
124
+ const_set(var.name.to_ruby_const_name, var.get_value(VpiIntVal))
95
125
  end
96
126
 
97
127
  # methods in design.rb & proto.rb must execute on the DUT
@@ -100,20 +130,20 @@ usePrototype = ENV['PROTOTYPE'].to_i == 1
100
130
 
101
131
  Kernel.const_set(designName.to_ruby_const_name, design)
102
132
 
133
+ # load the user's test bench
134
+ RubyVPI::Scheduler.start
135
+
136
+ # design file
103
137
  f = "#{designName}_design.rb"
104
138
  design.module_eval(File.read(f), f) if File.exist? f
105
139
 
106
- # load the design's prototype
107
- if usePrototype
140
+ # prototype file
141
+ if RubyVPI::USE_PROTOTYPE
108
142
  f = "#{designName}_proto.rb"
109
143
  design.module_eval(File.read(f), f) if File.exist? f
110
144
 
111
- Vpi.module_eval do
112
- define_method :advance_time do |*args|
113
- design.feign!
114
- end
115
-
116
- def vpi_register_cb #:nodoc:
145
+ VPI.module_eval do
146
+ def vpi_register_cb *args #:nodoc:
117
147
  warn "vpi_register_cb: callbacks are ignored when prototype is enabled"
118
148
  end
119
149
  end
@@ -121,5 +151,5 @@ usePrototype = ENV['PROTOTYPE'].to_i == 1
121
151
  RubyVPI.say 'prototype is enabled'
122
152
  end
123
153
 
124
- # load the design's specification
154
+ # specification file
125
155
  require "#{designName}_spec.rb"
data/lib/ruby-vpi.rb CHANGED
@@ -7,7 +7,6 @@ module RubyVPI
7
7
  PROJECT_ID = 'ruby-vpi'
8
8
  PROJECT_NAME = 'Ruby-VPI'
9
9
  PROJECT_URL = "http://#{PROJECT_ID}.rubyforge.org"
10
- WEBSITE_URL = PROJECT_URL + "/doc"
11
10
  PROJECT_SUMMARY = "Ruby interface to IEEE 1364-2005 Verilog VPI"
12
11
  PROJECT_DETAIL = "#{PROJECT_NAME} is a #{PROJECT_SUMMARY} and a platform for unit testing, rapid prototyping, and systems integration of Verilog modules through Ruby. It lets you create complex Verilog test benches easily and wholly in Ruby."
13
12
 
@@ -22,7 +21,8 @@ module RubyVPI
22
21
  :ncsim => Simulator.new('Cadence NC-Sim', '-DCADENCE_NCSIM', ''),
23
22
  }
24
23
 
24
+ # Speaks the given message using printf().
25
25
  def RubyVPI.say fmt, *args #:nodoc:
26
- Vpi.vpi_printf("#{PROJECT_NAME}: #{fmt}\n", *args)
26
+ VPI.vpi_printf("#{PROJECT_NAME}: #{fmt}\n", *args)
27
27
  end
28
28
  end
data/ref/c/annotated.html CHANGED
@@ -27,7 +27,7 @@
27
27
  <tr><td class="indexkey"><a class="el" href="structt__vpi__vecval.html">t_vpi_vecval</a></td><td class="indexvalue"></td></tr>
28
28
  <tr><td class="indexkey"><a class="el" href="structt__vpi__vlog__info.html">t_vpi_vlog_info</a></td><td class="indexvalue"></td></tr>
29
29
  </table>
30
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
30
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
31
31
  <a href="http://www.doxygen.org/index.html">
32
32
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
33
33
  </body>
data/ref/c/common_8h.html CHANGED
@@ -140,7 +140,7 @@ Pass aroung this value instead of zero and non-zero integers. <dl compact><dt><b
140
140
 
141
141
  </div>
142
142
  </div><p>
143
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
143
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:36 2007 for Ruby-VPI by&nbsp;
144
144
  <a href="http://www.doxygen.org/index.html">
145
145
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
146
146
  </body>
data/ref/c/files.html CHANGED
@@ -27,7 +27,7 @@
27
27
  <tr><td class="indexkey"><a class="el" href="vlog_8h.html">vlog.h</a></td><td class="indexvalue">Interface between C and Verilog code </td></tr>
28
28
  <tr><td class="indexkey"><a class="el" href="vpi__user_8h.html">vpi_user.h</a></td><td class="indexvalue"></td></tr>
29
29
  </table>
30
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
30
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
31
31
  <a href="http://www.doxygen.org/index.html">
32
32
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
33
33
  </body>
data/ref/c/functions.html CHANGED
@@ -175,7 +175,7 @@ Here is a list of all struct and union fields with links to the structures/union
175
175
  <li>version
176
176
  : <a class="el" href="structt__vpi__vlog__info.html#3ff076199159904aa9869e0fb1476bad">t_vpi_vlog_info</a>
177
177
  </ul>
178
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
178
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
179
179
  <a href="http://www.doxygen.org/index.html">
180
180
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
181
181
  </body>
@@ -175,7 +175,7 @@
175
175
  <li>version
176
176
  : <a class="el" href="structt__vpi__vlog__info.html#3ff076199159904aa9869e0fb1476bad">t_vpi_vlog_info</a>
177
177
  </ul>
178
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
178
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
179
179
  <a href="http://www.doxygen.org/index.html">
180
180
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
181
181
  </body>
data/ref/c/globals.html CHANGED
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>bool
51
51
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b1">common.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -112,7 +112,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
112
112
  <li>common_printf
113
113
  : <a class="el" href="common_8h.html#a2ee406785247606fd3daddbed14cbaf">common.h</a>
114
114
  </ul>
115
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
115
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
116
116
  <a href="http://www.doxygen.org/index.html">
117
117
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
118
118
  </body>
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>EETERN
51
51
  : <a class="el" href="vpi__user_8h.html#17207c0c9000e05cf0c7bcde1c10293d">vpi_user.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>false
51
51
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b1e9de385ef6fe9bf3360d1038396b884c">common.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -57,7 +57,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
57
57
  : <a class="el" href="main_8c.html#5744de41392bc2f3a3285c7203a77229">main.c</a>
58
58
  , <a class="el" href="main_8h.html#5744de41392bc2f3a3285c7203a77229">main.h</a>
59
59
  </ul>
60
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
60
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
61
61
  <a href="http://www.doxygen.org/index.html">
62
62
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
63
63
  </body>
@@ -82,7 +82,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
82
82
  <li>PROTO_PARAMS()
83
83
  : <a class="el" href="vpi__user_8h.html#7db22f87fed5d61de6ce0279828a06a8">vpi_user.h</a>
84
84
  </ul>
85
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
85
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
86
86
  <a href="http://www.doxygen.org/index.html">
87
87
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
88
88
  </body>
@@ -65,7 +65,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
65
65
  : <a class="el" href="relay_8h.html#c1a02ebdb76a321bac689ac9b30f0422">relay.h</a>
66
66
  , <a class="el" href="relay_8c.html#c1a02ebdb76a321bac689ac9b30f0422">relay.c</a>
67
67
  </ul>
68
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
68
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
69
69
  <a href="http://www.doxygen.org/index.html">
70
70
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
71
71
  </body>
@@ -66,7 +66,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
66
66
  <li>s_vpi_vlog_info
67
67
  : <a class="el" href="vpi__user_8h.html#4d989aa964a0d69d3e1da525725573c8">vpi_user.h</a>
68
68
  </ul>
69
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
69
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
70
70
  <a href="http://www.doxygen.org/index.html">
71
71
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
72
72
  </body>
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>true
51
51
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b108f175a5505a10b9ed657defeb050e4b">common.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -896,7 +896,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
896
896
  <li>vpiZ
897
897
  : <a class="el" href="vpi__user_8h.html#926969d440ea7547404cbac67367fe5f">vpi_user.h</a>
898
898
  </ul>
899
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
899
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
900
900
  <a href="http://www.doxygen.org/index.html">
901
901
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
902
902
  </body>
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>XXTERN
51
51
  : <a class="el" href="vpi__user_8h.html#d3973263496fbe347baaf726dac775c5">vpi_user.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -106,7 +106,7 @@
106
106
  <li>common_printf
107
107
  : <a class="el" href="common_8h.html#a2ee406785247606fd3daddbed14cbaf">common.h</a>
108
108
  </ul>
109
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
109
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
110
110
  <a href="http://www.doxygen.org/index.html">
111
111
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
112
112
  </body>
@@ -44,7 +44,7 @@
44
44
  <li>EETERN
45
45
  : <a class="el" href="vpi__user_8h.html#17207c0c9000e05cf0c7bcde1c10293d">vpi_user.h</a>
46
46
  </ul>
47
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
47
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
48
48
  <a href="http://www.doxygen.org/index.html">
49
49
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
50
50
  </body>
@@ -46,7 +46,7 @@
46
46
  <li>PROTO_PARAMS
47
47
  : <a class="el" href="vpi__user_8h.html#b841b102c042624bb490d262509ef332">vpi_user.h</a>
48
48
  </ul>
49
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
49
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
50
50
  <a href="http://www.doxygen.org/index.html">
51
51
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
52
52
  </body>
@@ -876,7 +876,7 @@
876
876
  <li>vpiZ
877
877
  : <a class="el" href="vpi__user_8h.html#926969d440ea7547404cbac67367fe5f">vpi_user.h</a>
878
878
  </ul>
879
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
879
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
880
880
  <a href="http://www.doxygen.org/index.html">
881
881
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
882
882
  </body>
@@ -44,7 +44,7 @@
44
44
  <li>XXTERN
45
45
  : <a class="el" href="vpi__user_8h.html#d3973263496fbe347baaf726dac775c5">vpi_user.h</a>
46
46
  </ul>
47
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
47
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
48
48
  <a href="http://www.doxygen.org/index.html">
49
49
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
50
50
  </body>
@@ -33,7 +33,7 @@
33
33
  <li>bool
34
34
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b1">common.h</a>
35
35
  </ul>
36
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
36
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
37
37
  <a href="http://www.doxygen.org/index.html">
38
38
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
39
39
  </body>
@@ -35,7 +35,7 @@
35
35
  <li>true
36
36
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b108f175a5505a10b9ed657defeb050e4b">common.h</a>
37
37
  </ul>
38
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
38
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
39
39
  <a href="http://www.doxygen.org/index.html">
40
40
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
41
41
  </body>
@@ -77,7 +77,7 @@
77
77
  <li>vlog_startup()
78
78
  : <a class="el" href="vlog_8c.html#dc9eaadf567421f239b8b647a3ea0eb2">vlog.c</a>
79
79
  </ul>
80
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
80
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
81
81
  <a href="http://www.doxygen.org/index.html">
82
82
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
83
83
  </body>
@@ -81,7 +81,7 @@
81
81
  <li>vpiHandle
82
82
  : <a class="el" href="vpi__user_8h.html#7f57325d105c33e9bbac40b5ddd04d05">vpi_user.h</a>
83
83
  </ul>
84
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
84
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
85
85
  <a href="http://www.doxygen.org/index.html">
86
86
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
87
87
  </body>
@@ -42,7 +42,7 @@
42
42
  : <a class="el" href="vpi__user_8h.html#c633eb05d7e314a57629aa32ca3a9076">vpi_user.h</a>
43
43
  , <a class="el" href="vlog_8c.html#c1228ec9528d9c9be4de1c8e42cd3835">vlog.c</a>
44
44
  </ul>
45
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
45
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
46
46
  <a href="http://www.doxygen.org/index.html">
47
47
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
48
48
  </body>
data/ref/c/index.html CHANGED
@@ -13,7 +13,7 @@
13
13
  </ul></div>
14
14
  <h1>Ruby-VPI Documentation</h1>
15
15
  <p>
16
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
16
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:36 2007 for Ruby-VPI by&nbsp;
17
17
  <a href="http://www.doxygen.org/index.html">
18
18
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
19
19
  </body>
data/ref/c/main_8c.html CHANGED
@@ -100,7 +100,7 @@ Transfers control from Ruby to Verilog.
100
100
 
101
101
  </div>
102
102
  </div><p>
103
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
103
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:36 2007 for Ruby-VPI by&nbsp;
104
104
  <a href="http://www.doxygen.org/index.html">
105
105
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
106
106
  </body>
data/ref/c/main_8h.html CHANGED
@@ -102,7 +102,7 @@ Transfers control from Ruby to Verilog.
102
102
 
103
103
  </div>
104
104
  </div><p>
105
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
105
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:36 2007 for Ruby-VPI by&nbsp;
106
106
  <a href="http://www.doxygen.org/index.html">
107
107
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
108
108
  </body>
data/ref/c/relay_8c.html CHANGED
@@ -171,7 +171,7 @@ Transfers control to Verilog.
171
171
 
172
172
  </div>
173
173
  </div><p>
174
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
174
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:36 2007 for Ruby-VPI by&nbsp;
175
175
  <a href="http://www.doxygen.org/index.html">
176
176
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
177
177
  </body>
data/ref/c/relay_8h.html CHANGED
@@ -99,7 +99,7 @@ Transfers control to Verilog.
99
99
 
100
100
  </div>
101
101
  </div><p>
102
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
102
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:36 2007 for Ruby-VPI by&nbsp;
103
103
  <a href="http://www.doxygen.org/index.html">
104
104
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
105
105
  </body>
@@ -144,7 +144,7 @@
144
144
  </div><p>
145
145
  <hr>The documentation for this struct was generated from the following file:<ul>
146
146
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
147
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
147
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
148
148
  <a href="http://www.doxygen.org/index.html">
149
149
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
150
150
  </body>
@@ -127,7 +127,7 @@
127
127
  </div><p>
128
128
  <hr>The documentation for this struct was generated from the following file:<ul>
129
129
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
130
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
130
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
131
131
  <a href="http://www.doxygen.org/index.html">
132
132
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
133
133
  </body>
@@ -144,7 +144,7 @@
144
144
  </div><p>
145
145
  <hr>The documentation for this struct was generated from the following file:<ul>
146
146
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
147
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
147
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
148
148
  <a href="http://www.doxygen.org/index.html">
149
149
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
150
150
  </body>
@@ -76,7 +76,7 @@
76
76
  </div><p>
77
77
  <hr>The documentation for this struct was generated from the following file:<ul>
78
78
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
79
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
79
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
80
80
  <a href="http://www.doxygen.org/index.html">
81
81
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
82
82
  </body>
@@ -144,7 +144,7 @@
144
144
  </div><p>
145
145
  <hr>The documentation for this struct was generated from the following file:<ul>
146
146
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
147
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
147
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
148
148
  <a href="http://www.doxygen.org/index.html">
149
149
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
150
150
  </body>
@@ -93,7 +93,7 @@
93
93
  </div><p>
94
94
  <hr>The documentation for this struct was generated from the following file:<ul>
95
95
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
96
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
96
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
97
97
  <a href="http://www.doxygen.org/index.html">
98
98
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
99
99
  </body>
@@ -197,7 +197,7 @@
197
197
  </div><p>
198
198
  <hr>The documentation for this struct was generated from the following file:<ul>
199
199
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
200
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
200
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
201
201
  <a href="http://www.doxygen.org/index.html">
202
202
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
203
203
  </body>
@@ -59,7 +59,7 @@
59
59
  </div><p>
60
60
  <hr>The documentation for this struct was generated from the following file:<ul>
61
61
  <li><a class="el" href="vpi__user_8h.html">vpi_user.h</a></ul>
62
- <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
62
+ <hr size="1"><address style="align: right;"><small>Generated on Mon Aug 27 19:26:37 2007 for Ruby-VPI by&nbsp;
63
63
  <a href="http://www.doxygen.org/index.html">
64
64
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
65
65
  </body>