rggen-systemverilog 0.24.0 → 0.26.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (70) hide show
  1. checksums.yaml +4 -4
  2. data/LICENSE +1 -1
  3. data/README.md +1 -1
  4. data/lib/rggen/systemverilog/common/factories.rb +1 -1
  5. data/lib/rggen/systemverilog/common/feature.rb +1 -1
  6. data/lib/rggen/systemverilog/common/utility/class_definition.rb +12 -4
  7. data/lib/rggen/systemverilog/common/utility/data_object.rb +1 -2
  8. data/lib/rggen/systemverilog/common/utility/function_definition.rb +16 -4
  9. data/lib/rggen/systemverilog/common/utility/identifier.rb +16 -11
  10. data/lib/rggen/systemverilog/common/utility/local_scope.rb +9 -7
  11. data/lib/rggen/systemverilog/common/utility/module_definition.rb +12 -4
  12. data/lib/rggen/systemverilog/common/utility/package_definition.rb +4 -4
  13. data/lib/rggen/systemverilog/common/utility.rb +1 -1
  14. data/lib/rggen/systemverilog/common.rb +0 -2
  15. data/lib/rggen/systemverilog/ral/bit_field/type/rof.rb +5 -0
  16. data/lib/rggen/systemverilog/ral/bit_field/type/rotrg_rwtrg_wotrg.rb +9 -0
  17. data/lib/rggen/systemverilog/ral/bit_field/type/rowo_rowotrg.rb +8 -0
  18. data/lib/rggen/systemverilog/ral/bit_field/type.rb +20 -7
  19. data/lib/rggen/systemverilog/ral/register_common.rb +1 -1
  20. data/lib/rggen/systemverilog/ral.rb +3 -1
  21. data/lib/rggen/systemverilog/rtl/bit_field/sv_rtl_top.rb +1 -1
  22. data/lib/rggen/systemverilog/rtl/bit_field/type/rc_w0c_w1c_wc_woc.erb +2 -0
  23. data/lib/rggen/systemverilog/rtl/bit_field/type/rc_w0c_w1c_wc_woc.rb +3 -3
  24. data/lib/rggen/systemverilog/rtl/bit_field/type/{ro.erb → ro_rotrg.erb} +8 -4
  25. data/lib/rggen/systemverilog/rtl/bit_field/type/ro_rotrg.rb +40 -0
  26. data/lib/rggen/systemverilog/rtl/bit_field/type/rof.erb +5 -2
  27. data/lib/rggen/systemverilog/rtl/bit_field/type/rowo_rowotrg.erb +21 -0
  28. data/lib/rggen/systemverilog/rtl/bit_field/type/rowo_rowotrg.rb +52 -0
  29. data/lib/rggen/systemverilog/rtl/bit_field/type/rs_w0s_w1s_ws_wos.erb +2 -0
  30. data/lib/rggen/systemverilog/rtl/bit_field/type/rs_w0s_w1s_ws_wos.rb +2 -2
  31. data/lib/rggen/systemverilog/rtl/bit_field/type/{rw_w1_wo_wo1.erb → rw_rwtrg_w1.erb} +4 -2
  32. data/lib/rggen/systemverilog/rtl/bit_field/type/rw_rwtrg_w1.rb +46 -0
  33. data/lib/rggen/systemverilog/rtl/bit_field/type/rwc.erb +2 -0
  34. data/lib/rggen/systemverilog/rtl/bit_field/type/rwc.rb +2 -2
  35. data/lib/rggen/systemverilog/rtl/bit_field/type/{rwl.erb → rwe_rwl.erb} +4 -2
  36. data/lib/rggen/systemverilog/rtl/bit_field/type/rwe_rwl.rb +34 -0
  37. data/lib/rggen/systemverilog/rtl/bit_field/type/rws.erb +2 -0
  38. data/lib/rggen/systemverilog/rtl/bit_field/type/rws.rb +3 -3
  39. data/lib/rggen/systemverilog/rtl/bit_field/type/w0crs_w0src_w1crs_w1src_wcrs_wsrc.erb +2 -0
  40. data/lib/rggen/systemverilog/rtl/bit_field/type/w0crs_w0src_w1crs_w1src_wcrs_wsrc.rb +1 -1
  41. data/lib/rggen/systemverilog/rtl/bit_field/type/w0t_w1t.erb +2 -0
  42. data/lib/rggen/systemverilog/rtl/bit_field/type/w0t_w1t.rb +1 -1
  43. data/lib/rggen/systemverilog/rtl/bit_field/type/w0trg_w1trg.rb +1 -1
  44. data/lib/rggen/systemverilog/rtl/bit_field/type/{rwe.erb → wo_wo1_wotrg.erb} +8 -4
  45. data/lib/rggen/systemverilog/rtl/bit_field/type/wo_wo1_wotrg.rb +38 -0
  46. data/lib/rggen/systemverilog/rtl/bit_field/type/wrc_wrs.erb +2 -0
  47. data/lib/rggen/systemverilog/rtl/bit_field/type/wrc_wrs.rb +1 -1
  48. data/lib/rggen/systemverilog/rtl/bit_field_index.rb +1 -2
  49. data/lib/rggen/systemverilog/rtl/feature.rb +8 -6
  50. data/lib/rggen/systemverilog/rtl/partial_sum.rb +5 -6
  51. data/lib/rggen/systemverilog/rtl/register/type/external.rb +5 -62
  52. data/lib/rggen/systemverilog/rtl/register_block/protocol/apb.rb +3 -60
  53. data/lib/rggen/systemverilog/rtl/register_block/protocol/axi4lite.rb +4 -118
  54. data/lib/rggen/systemverilog/rtl/register_block/protocol/wishbone.erb +17 -0
  55. data/lib/rggen/systemverilog/rtl/register_block/protocol/wishbone.rb +26 -0
  56. data/lib/rggen/systemverilog/rtl/register_block/sv_rtl_top.rb +2 -6
  57. data/lib/rggen/systemverilog/rtl/register_index.rb +13 -11
  58. data/lib/rggen/systemverilog/rtl/register_type.rb +4 -3
  59. data/lib/rggen/systemverilog/rtl/setup.rb +2 -4
  60. data/lib/rggen/systemverilog/rtl.rb +6 -6
  61. data/lib/rggen/systemverilog/version.rb +1 -1
  62. metadata +21 -31
  63. data/lib/rggen/systemverilog/ral/bit_field/type/reserved_rof.rb +0 -5
  64. data/lib/rggen/systemverilog/rtl/bit_field/type/reserved.erb +0 -18
  65. data/lib/rggen/systemverilog/rtl/bit_field/type/reserved.rb +0 -7
  66. data/lib/rggen/systemverilog/rtl/bit_field/type/ro.rb +0 -22
  67. data/lib/rggen/systemverilog/rtl/bit_field/type/rw_w1_wo_wo1.rb +0 -24
  68. data/lib/rggen/systemverilog/rtl/bit_field/type/rwe.rb +0 -26
  69. data/lib/rggen/systemverilog/rtl/bit_field/type/rwl.rb +0 -26
  70. data/lib/rggen/systemverilog/rtl/global/fold_sv_interface_port.rb +0 -28
@@ -0,0 +1,26 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:register_block, :protocol, :wishbone) do
4
+ configuration do
5
+ verify(:component) do
6
+ error_condition { configuration.bus_width > 64 }
7
+ message do
8
+ 'bus width over 64 bit is not supported: ' \
9
+ "#{configuration.bus_width}"
10
+ end
11
+ end
12
+ end
13
+
14
+ sv_rtl do
15
+ build do
16
+ parameter :use_stall, {
17
+ name: 'USE_STALL', data_type: :bit, default: 1
18
+ }
19
+ interface_port :wishbone_if, {
20
+ name: 'wishbone_if', interface_type: 'rggen_wishbone_if', modport: 'slave'
21
+ }
22
+ end
23
+
24
+ main_code :register_block, from_template: true
25
+ end
26
+ end
@@ -5,12 +5,8 @@ RgGen.define_simple_feature(:register_block, :sv_rtl_top) do
5
5
  export :total_registers
6
6
 
7
7
  build do
8
- input :clock, {
9
- name: 'i_clk', data_type: :logic, width: 1
10
- }
11
- input :reset, {
12
- name: 'i_rst_n', data_type: :logic, width: 1
13
- }
8
+ input :clock, { name: 'i_clk', width: 1 }
9
+ input :reset, { name: 'i_rst_n', width: 1 }
14
10
  interface :register_if, {
15
11
  name: 'register_if', interface_type: 'rggen_register_if',
16
12
  parameter_values: [address_width, bus_width, value_width],
@@ -50,13 +50,10 @@ module RgGen
50
50
  end
51
51
 
52
52
  def index(offset_or_offsets = nil)
53
- operands = index_operands(offset_or_offsets)
54
- partial_indices = partial_sums(operands)
55
- if partial_indices.empty? || partial_indices.all?(&method(:integer?))
56
- partial_indices.sum
57
- else
58
- partial_indices.join('+')
59
- end
53
+ offset_or_offsets
54
+ .yield_self(&method(:index_operands))
55
+ .yield_self(&method(:partial_sums))
56
+ .yield_self(&method(:reduce_indices))
60
57
  end
61
58
 
62
59
  def inside_loop?
@@ -87,12 +84,17 @@ module RgGen
87
84
  ]
88
85
  end
89
86
 
87
+ def reduce_indices(indices)
88
+ if indices.empty? || indices.all?(&method(:integer?))
89
+ indices.sum
90
+ else
91
+ indices.join('+')
92
+ end
93
+ end
94
+
90
95
  def local_register_index(offset)
91
96
  (component.array? || nil) &&
92
- begin
93
- operands = [component.count(false), offset || local_index]
94
- product(operands, true)
95
- end
97
+ product([component.count(false), offset || local_index], true)
96
98
  end
97
99
 
98
100
  def product(operands, need_bracket)
@@ -25,9 +25,10 @@ module RgGen
25
25
  end
26
26
 
27
27
  def offset_address
28
- offsets = [*register_files, register].flat_map(&method(:collect_offsets))
29
- offsets = partial_sums(offsets)
30
- format_offsets(offsets)
28
+ [*register_files, register]
29
+ .flat_map(&method(:collect_offsets))
30
+ .yield_self(&method(:partial_sums))
31
+ .yield_self(&method(:format_offsets))
31
32
  end
32
33
 
33
34
  def collect_offsets(component)
@@ -3,11 +3,9 @@
3
3
  require 'rggen/systemverilog/rtl'
4
4
 
5
5
  RgGen.register_plugin RgGen::SystemVerilog::RTL do |builder|
6
- builder.enable :global, [
7
- :array_port_format, :fold_sv_interface_port
8
- ]
6
+ builder.enable :global, [:array_port_format]
9
7
  builder.enable :register_block, [:sv_rtl_top, :protocol]
10
- builder.enable :register_block, :protocol, [:apb, :axi4lite]
8
+ builder.enable :register_block, :protocol, [:apb, :axi4lite, :wishbone]
11
9
  builder.enable :register_file, [:sv_rtl_top]
12
10
  builder.enable :register, [:sv_rtl_top]
13
11
  builder.enable :bit_field, [:sv_rtl_top]
@@ -25,21 +25,20 @@ module RgGen
25
25
  'rtl/bit_field/sv_rtl_top',
26
26
  'rtl/bit_field/type',
27
27
  'rtl/bit_field/type/rc_w0c_w1c_wc_woc',
28
- 'rtl/bit_field/type/reserved',
29
- 'rtl/bit_field/type/ro',
28
+ 'rtl/bit_field/type/ro_rotrg',
30
29
  'rtl/bit_field/type/rof',
30
+ 'rtl/bit_field/type/rowo_rowotrg',
31
31
  'rtl/bit_field/type/rs_w0s_w1s_ws_wos',
32
- 'rtl/bit_field/type/rw_w1_wo_wo1',
32
+ 'rtl/bit_field/type/rw_rwtrg_w1',
33
33
  'rtl/bit_field/type/rwc',
34
- 'rtl/bit_field/type/rwe',
35
- 'rtl/bit_field/type/rwl',
34
+ 'rtl/bit_field/type/rwe_rwl',
36
35
  'rtl/bit_field/type/rws',
37
36
  'rtl/bit_field/type/w0crs_w0src_w1crs_w1src_wcrs_wsrc',
38
37
  'rtl/bit_field/type/w0t_w1t',
39
38
  'rtl/bit_field/type/w0trg_w1trg',
39
+ 'rtl/bit_field/type/wo_wo1_wotrg',
40
40
  'rtl/bit_field/type/wrc_wrs',
41
41
  'rtl/global/array_port_format',
42
- 'rtl/global/fold_sv_interface_port',
43
42
  'rtl/register/sv_rtl_top',
44
43
  'rtl/register/type',
45
44
  'rtl/register/type/external',
@@ -47,6 +46,7 @@ module RgGen
47
46
  'rtl/register_block/protocol',
48
47
  'rtl/register_block/protocol/apb',
49
48
  'rtl/register_block/protocol/axi4lite',
49
+ 'rtl/register_block/protocol/wishbone',
50
50
  'rtl/register_block/sv_rtl_top',
51
51
  'rtl/register_file/sv_rtl_top'
52
52
  ]
@@ -2,6 +2,6 @@
2
2
 
3
3
  module RgGen
4
4
  module SystemVerilog
5
- VERSION = '0.24.0'
5
+ VERSION = '0.26.0'
6
6
  end
7
7
  end
metadata CHANGED
@@ -1,29 +1,15 @@
1
1
  --- !ruby/object:Gem::Specification
2
2
  name: rggen-systemverilog
3
3
  version: !ruby/object:Gem::Version
4
- version: 0.24.0
4
+ version: 0.26.0
5
5
  platform: ruby
6
6
  authors:
7
7
  - Taichi Ishitani
8
8
  autorequire:
9
9
  bindir: bin
10
10
  cert_chain: []
11
- date: 2021-01-20 00:00:00.000000000 Z
11
+ date: 2022-03-25 00:00:00.000000000 Z
12
12
  dependencies:
13
- - !ruby/object:Gem::Dependency
14
- name: facets
15
- requirement: !ruby/object:Gem::Requirement
16
- requirements:
17
- - - ">="
18
- - !ruby/object:Gem::Version
19
- version: '3.0'
20
- type: :runtime
21
- prerelease: false
22
- version_requirements: !ruby/object:Gem::Requirement
23
- requirements:
24
- - - ">="
25
- - !ruby/object:Gem::Version
26
- version: '3.0'
27
13
  - !ruby/object:Gem::Dependency
28
14
  name: bundler
29
15
  requirement: !ruby/object:Gem::Requirement
@@ -69,7 +55,9 @@ files:
69
55
  - lib/rggen/systemverilog/common/utility/structure_definition.rb
70
56
  - lib/rggen/systemverilog/ral.rb
71
57
  - lib/rggen/systemverilog/ral/bit_field/type.rb
72
- - lib/rggen/systemverilog/ral/bit_field/type/reserved_rof.rb
58
+ - lib/rggen/systemverilog/ral/bit_field/type/rof.rb
59
+ - lib/rggen/systemverilog/ral/bit_field/type/rotrg_rwtrg_wotrg.rb
60
+ - lib/rggen/systemverilog/ral/bit_field/type/rowo_rowotrg.rb
73
61
  - lib/rggen/systemverilog/ral/bit_field/type/rwc_rws.rb
74
62
  - lib/rggen/systemverilog/ral/bit_field/type/rwe_rwl.rb
75
63
  - lib/rggen/systemverilog/ral/bit_field/type/w0trg_w1trg.rb
@@ -91,22 +79,20 @@ files:
91
79
  - lib/rggen/systemverilog/rtl/bit_field/type.rb
92
80
  - lib/rggen/systemverilog/rtl/bit_field/type/rc_w0c_w1c_wc_woc.erb
93
81
  - lib/rggen/systemverilog/rtl/bit_field/type/rc_w0c_w1c_wc_woc.rb
94
- - lib/rggen/systemverilog/rtl/bit_field/type/reserved.erb
95
- - lib/rggen/systemverilog/rtl/bit_field/type/reserved.rb
96
- - lib/rggen/systemverilog/rtl/bit_field/type/ro.erb
97
- - lib/rggen/systemverilog/rtl/bit_field/type/ro.rb
82
+ - lib/rggen/systemverilog/rtl/bit_field/type/ro_rotrg.erb
83
+ - lib/rggen/systemverilog/rtl/bit_field/type/ro_rotrg.rb
98
84
  - lib/rggen/systemverilog/rtl/bit_field/type/rof.erb
99
85
  - lib/rggen/systemverilog/rtl/bit_field/type/rof.rb
86
+ - lib/rggen/systemverilog/rtl/bit_field/type/rowo_rowotrg.erb
87
+ - lib/rggen/systemverilog/rtl/bit_field/type/rowo_rowotrg.rb
100
88
  - lib/rggen/systemverilog/rtl/bit_field/type/rs_w0s_w1s_ws_wos.erb
101
89
  - lib/rggen/systemverilog/rtl/bit_field/type/rs_w0s_w1s_ws_wos.rb
102
- - lib/rggen/systemverilog/rtl/bit_field/type/rw_w1_wo_wo1.erb
103
- - lib/rggen/systemverilog/rtl/bit_field/type/rw_w1_wo_wo1.rb
90
+ - lib/rggen/systemverilog/rtl/bit_field/type/rw_rwtrg_w1.erb
91
+ - lib/rggen/systemverilog/rtl/bit_field/type/rw_rwtrg_w1.rb
104
92
  - lib/rggen/systemverilog/rtl/bit_field/type/rwc.erb
105
93
  - lib/rggen/systemverilog/rtl/bit_field/type/rwc.rb
106
- - lib/rggen/systemverilog/rtl/bit_field/type/rwe.erb
107
- - lib/rggen/systemverilog/rtl/bit_field/type/rwe.rb
108
- - lib/rggen/systemverilog/rtl/bit_field/type/rwl.erb
109
- - lib/rggen/systemverilog/rtl/bit_field/type/rwl.rb
94
+ - lib/rggen/systemverilog/rtl/bit_field/type/rwe_rwl.erb
95
+ - lib/rggen/systemverilog/rtl/bit_field/type/rwe_rwl.rb
110
96
  - lib/rggen/systemverilog/rtl/bit_field/type/rws.erb
111
97
  - lib/rggen/systemverilog/rtl/bit_field/type/rws.rb
112
98
  - lib/rggen/systemverilog/rtl/bit_field/type/w0crs_w0src_w1crs_w1src_wcrs_wsrc.erb
@@ -115,12 +101,13 @@ files:
115
101
  - lib/rggen/systemverilog/rtl/bit_field/type/w0t_w1t.rb
116
102
  - lib/rggen/systemverilog/rtl/bit_field/type/w0trg_w1trg.erb
117
103
  - lib/rggen/systemverilog/rtl/bit_field/type/w0trg_w1trg.rb
104
+ - lib/rggen/systemverilog/rtl/bit_field/type/wo_wo1_wotrg.erb
105
+ - lib/rggen/systemverilog/rtl/bit_field/type/wo_wo1_wotrg.rb
118
106
  - lib/rggen/systemverilog/rtl/bit_field/type/wrc_wrs.erb
119
107
  - lib/rggen/systemverilog/rtl/bit_field/type/wrc_wrs.rb
120
108
  - lib/rggen/systemverilog/rtl/bit_field_index.rb
121
109
  - lib/rggen/systemverilog/rtl/feature.rb
122
110
  - lib/rggen/systemverilog/rtl/global/array_port_format.rb
123
- - lib/rggen/systemverilog/rtl/global/fold_sv_interface_port.rb
124
111
  - lib/rggen/systemverilog/rtl/indirect_index.rb
125
112
  - lib/rggen/systemverilog/rtl/partial_sum.rb
126
113
  - lib/rggen/systemverilog/rtl/register/sv_rtl_top.rb
@@ -135,6 +122,8 @@ files:
135
122
  - lib/rggen/systemverilog/rtl/register_block/protocol/apb.rb
136
123
  - lib/rggen/systemverilog/rtl/register_block/protocol/axi4lite.erb
137
124
  - lib/rggen/systemverilog/rtl/register_block/protocol/axi4lite.rb
125
+ - lib/rggen/systemverilog/rtl/register_block/protocol/wishbone.erb
126
+ - lib/rggen/systemverilog/rtl/register_block/protocol/wishbone.rb
138
127
  - lib/rggen/systemverilog/rtl/register_block/sv_rtl_macros.erb
139
128
  - lib/rggen/systemverilog/rtl/register_block/sv_rtl_top.rb
140
129
  - lib/rggen/systemverilog/rtl/register_file/sv_rtl_top.rb
@@ -148,6 +137,7 @@ licenses:
148
137
  metadata:
149
138
  bug_tracker_uri: https://github.com/rggen/rggen-systemverilog/issues
150
139
  mailing_list_uri: https://groups.google.com/d/forum/rggen
140
+ rubygems_mfa_required: 'true'
151
141
  source_code_uri: https://github.com/rggen/rggen-systemverilog
152
142
  wiki_uri: https://github.com/rggen/rggen/wiki
153
143
  post_install_message:
@@ -158,15 +148,15 @@ required_ruby_version: !ruby/object:Gem::Requirement
158
148
  requirements:
159
149
  - - ">="
160
150
  - !ruby/object:Gem::Version
161
- version: '2.4'
151
+ version: '2.6'
162
152
  required_rubygems_version: !ruby/object:Gem::Requirement
163
153
  requirements:
164
154
  - - ">="
165
155
  - !ruby/object:Gem::Version
166
156
  version: '0'
167
157
  requirements: []
168
- rubygems_version: 3.2.3
158
+ rubygems_version: 3.3.3
169
159
  signing_key:
170
160
  specification_version: 4
171
- summary: rggen-systemverilog-0.24.0
161
+ summary: rggen-systemverilog-0.26.0
172
162
  test_files: []
@@ -1,5 +0,0 @@
1
- # frozen_string_literal: true
2
-
3
- RgGen.define_list_item_feature(:bit_field, :type, [:reserved, :rof]) do
4
- sv_ral { access 'RO' }
5
- end
@@ -1,18 +0,0 @@
1
- rggen_bit_field #(
2
- .WIDTH (<%= width %>),
3
- .SW_READ_ACTION (RGGEN_READ_NONE),
4
- .STORAGE (0)
5
- ) u_bit_field (
6
- .i_clk ('0),
7
- .i_rst_n ('0),
8
- .bit_field_if (<%= bit_field_if %>),
9
- .i_sw_write_enable ('0),
10
- .i_hw_write_enable ('0),
11
- .i_hw_write_data ('0),
12
- .i_hw_set ('0),
13
- .i_hw_clear ('0),
14
- .i_value ('0),
15
- .i_mask ('0),
16
- .o_value (),
17
- .o_value_unmasked ()
18
- );
@@ -1,7 +0,0 @@
1
- # frozen_string_literal: true
2
-
3
- RgGen.define_list_item_feature(:bit_field, :type, :reserved) do
4
- sv_rtl do
5
- main_code :bit_field, from_template: true
6
- end
7
- end
@@ -1,22 +0,0 @@
1
- # frozen_string_literal: true
2
-
3
- RgGen.define_list_item_feature(:bit_field, :type, :ro) do
4
- sv_rtl do
5
- build do
6
- unless bit_field.reference?
7
- input :value_in, {
8
- name: "i_#{full_name}", data_type: :logic, width: width,
9
- array_size: array_size, array_format: array_port_format
10
- }
11
- end
12
- end
13
-
14
- main_code :bit_field, from_template: true
15
-
16
- private
17
-
18
- def reference_or_value_in
19
- bit_field.reference? && reference_bit_field || value_in[loop_variables]
20
- end
21
- end
22
- end
@@ -1,24 +0,0 @@
1
- # frozen_string_literal: true
2
-
3
- RgGen.define_list_item_feature(:bit_field, :type, [:rw, :w1, :wo, :wo1]) do
4
- sv_rtl do
5
- build do
6
- output :value_out, {
7
- name: "o_#{full_name}", data_type: :logic, width: width,
8
- array_size: array_size, array_format: array_port_format
9
- }
10
- end
11
-
12
- main_code :bit_field, from_template: true
13
-
14
- private
15
-
16
- def read_action
17
- bit_field.readable? && 'RGGEN_READ_DEFAULT' || 'RGGEN_READ_NONE'
18
- end
19
-
20
- def write_once
21
- [:w1, :wo1].include?(bit_field.type) && 1 || 0
22
- end
23
- end
24
- end
@@ -1,26 +0,0 @@
1
- # frozen_string_literal: true
2
-
3
- RgGen.define_list_item_feature(:bit_field, :type, :rwe) do
4
- sv_rtl do
5
- build do
6
- unless bit_field.reference?
7
- input :enable, {
8
- name: "i_#{full_name}_enable", data_type: :logic, width: 1,
9
- array_size: array_size, array_format: array_port_format
10
- }
11
- end
12
- output :value_out, {
13
- name: "o_#{full_name}", data_type: :logic, width: width,
14
- array_size: array_size, array_format: array_port_format
15
- }
16
- end
17
-
18
- main_code :bit_field, from_template: true
19
-
20
- private
21
-
22
- def enable_signal
23
- reference_bit_field || enable[loop_variables]
24
- end
25
- end
26
- end
@@ -1,26 +0,0 @@
1
- # frozen_string_literal: true
2
-
3
- RgGen.define_list_item_feature(:bit_field, :type, :rwl) do
4
- sv_rtl do
5
- build do
6
- unless bit_field.reference?
7
- input :lock, {
8
- name: "i_#{full_name}_lock", data_type: :logic, width: 1,
9
- array_size: array_size, array_format: array_port_format
10
- }
11
- end
12
- output :value_out, {
13
- name: "o_#{full_name}", data_type: :logic, width: width,
14
- array_size: array_size, array_format: array_port_format
15
- }
16
- end
17
-
18
- main_code :bit_field, from_template: true
19
-
20
- private
21
-
22
- def lock_signal
23
- reference_bit_field || lock[loop_variables]
24
- end
25
- end
26
- end
@@ -1,28 +0,0 @@
1
- # frozen_string_literal: true
2
-
3
- RgGen.define_simple_feature(:global, :fold_sv_interface_port) do
4
- configuration do
5
- property :fold_sv_interface_port?, default: true
6
-
7
- input_pattern [
8
- /true|on|yes/i, /false|off|no/i
9
- ], match_automatically: false
10
-
11
- ignore_empty_value false
12
-
13
- build do |value|
14
- @fold_sv_interface_port =
15
- if [true, false].include?(value)
16
- value
17
- elsif match_pattern(value)
18
- [true, false][match_index]
19
- else
20
- error "cannot convert #{value.inspect} into boolean"
21
- end
22
- end
23
-
24
- printable :fold_sv_interface_port do
25
- fold_sv_interface_port?
26
- end
27
- end
28
- end