rggen-systemverilog 0.16.0 → 0.21.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (62) hide show
  1. checksums.yaml +4 -4
  2. data/LICENSE +1 -1
  3. data/README.md +2 -2
  4. data/lib/rggen/systemverilog/common.rb +2 -2
  5. data/lib/rggen/systemverilog/common/component.rb +2 -6
  6. data/lib/rggen/systemverilog/common/feature.rb +39 -26
  7. data/lib/rggen/systemverilog/common/utility.rb +13 -4
  8. data/lib/rggen/systemverilog/common/utility/data_object.rb +2 -2
  9. data/lib/rggen/systemverilog/common/utility/interface_instance.rb +2 -2
  10. data/lib/rggen/systemverilog/common/utility/interface_port.rb +9 -5
  11. data/lib/rggen/systemverilog/common/utility/local_scope.rb +8 -2
  12. data/lib/rggen/systemverilog/common/utility/structure_definition.rb +3 -5
  13. data/lib/rggen/systemverilog/ral.rb +4 -1
  14. data/lib/rggen/systemverilog/ral/bit_field/type.rb +21 -17
  15. data/lib/rggen/systemverilog/ral/bit_field/type/rwe_rwl.rb +1 -11
  16. data/lib/rggen/systemverilog/ral/feature.rb +5 -7
  17. data/lib/rggen/systemverilog/ral/register/type.rb +10 -52
  18. data/lib/rggen/systemverilog/ral/register/type/default.erb +1 -1
  19. data/lib/rggen/systemverilog/ral/register/type/external.rb +6 -10
  20. data/lib/rggen/systemverilog/ral/register/type/indirect.erb +3 -3
  21. data/lib/rggen/systemverilog/ral/register/type/indirect.rb +5 -2
  22. data/lib/rggen/systemverilog/ral/register_block/sv_ral_model.erb +8 -0
  23. data/lib/rggen/systemverilog/ral/register_block/sv_ral_model.rb +36 -0
  24. data/lib/rggen/systemverilog/ral/register_block/sv_ral_package.rb +1 -32
  25. data/lib/rggen/systemverilog/ral/register_common.rb +46 -0
  26. data/lib/rggen/systemverilog/ral/register_file/sv_ral_model.erb +8 -0
  27. data/lib/rggen/systemverilog/ral/register_file/sv_ral_model.rb +57 -0
  28. data/lib/rggen/systemverilog/ral/setup.rb +2 -1
  29. data/lib/rggen/systemverilog/rtl.rb +5 -2
  30. data/lib/rggen/systemverilog/rtl/bit_field/sv_rtl_top.rb +92 -16
  31. data/lib/rggen/systemverilog/rtl/bit_field/type.rb +3 -6
  32. data/lib/rggen/systemverilog/rtl/bit_field/type/rc_w0c_w1c.rb +3 -3
  33. data/lib/rggen/systemverilog/rtl/bit_field/type/ro.rb +1 -1
  34. data/lib/rggen/systemverilog/rtl/bit_field/type/rs_w0s_w1s.rb +2 -2
  35. data/lib/rggen/systemverilog/rtl/bit_field/type/{rw_wo.erb → rw_w1_wo_wo1.erb} +4 -2
  36. data/lib/rggen/systemverilog/rtl/bit_field/type/rw_w1_wo_wo1.rb +24 -0
  37. data/lib/rggen/systemverilog/rtl/bit_field/type/rwc.rb +2 -2
  38. data/lib/rggen/systemverilog/rtl/bit_field/type/rwe.rb +2 -2
  39. data/lib/rggen/systemverilog/rtl/bit_field/type/rwl.rb +2 -2
  40. data/lib/rggen/systemverilog/rtl/bit_field/type/rws.rb +3 -3
  41. data/lib/rggen/systemverilog/rtl/bit_field/type/w0crs_w1crs.rb +1 -1
  42. data/lib/rggen/systemverilog/rtl/bit_field/type/w0src_w1src.rb +1 -1
  43. data/lib/rggen/systemverilog/rtl/bit_field/type/w0trg_w1trg.rb +1 -1
  44. data/lib/rggen/systemverilog/rtl/feature.rb +13 -16
  45. data/lib/rggen/systemverilog/rtl/partial_sum.rb +29 -0
  46. data/lib/rggen/systemverilog/rtl/register/sv_rtl_top.rb +10 -47
  47. data/lib/rggen/systemverilog/rtl/register/type.rb +25 -1
  48. data/lib/rggen/systemverilog/rtl/register/type/external.rb +15 -15
  49. data/lib/rggen/systemverilog/rtl/register/type/indirect.rb +3 -3
  50. data/lib/rggen/systemverilog/rtl/register_block/protocol.rb +24 -4
  51. data/lib/rggen/systemverilog/rtl/register_block/protocol/apb.erb +9 -3
  52. data/lib/rggen/systemverilog/rtl/register_block/protocol/apb.rb +14 -15
  53. data/lib/rggen/systemverilog/rtl/register_block/protocol/axi4lite.erb +10 -4
  54. data/lib/rggen/systemverilog/rtl/register_block/protocol/axi4lite.rb +23 -25
  55. data/lib/rggen/systemverilog/rtl/register_block/sv_rtl_top.rb +11 -13
  56. data/lib/rggen/systemverilog/rtl/register_file/sv_rtl_top.rb +30 -0
  57. data/lib/rggen/systemverilog/rtl/register_index.rb +112 -0
  58. data/lib/rggen/systemverilog/rtl/setup.rb +1 -0
  59. data/lib/rggen/systemverilog/version.rb +1 -1
  60. metadata +16 -9
  61. data/lib/rggen/systemverilog/ral/register_block/sv_ral_block_model.erb +0 -11
  62. data/lib/rggen/systemverilog/rtl/bit_field/type/rw_wo.rb +0 -14
@@ -3,7 +3,7 @@
3
3
  RgGen.define_list_item_feature(:register, :type, :indirect) do
4
4
  sv_rtl do
5
5
  build do
6
- logic :register, :indirect_index, { width: index_width }
6
+ logic :indirect_index, { width: index_width }
7
7
  end
8
8
 
9
9
  main_code :register do |code|
@@ -19,11 +19,11 @@ RgGen.define_list_item_feature(:register, :type, :indirect) do
19
19
  end
20
20
 
21
21
  def index_width
22
- @index_width ||= index_fields.map(&:width).inject(:+)
22
+ @index_width ||= index_fields.map(&:width).sum
23
23
  end
24
24
 
25
25
  def index_values
26
- loop_variables = register.loop_variables
26
+ loop_variables = register.local_loop_variables
27
27
  register.index_entries.zip(index_fields).map do |entry, field|
28
28
  if entry.array_index?
29
29
  loop_variables.shift[0, field.width]
@@ -64,12 +64,28 @@ RgGen.define_list_feature(:register_block, :protocol) do
64
64
  shared_context.feature_registry(registry)
65
65
 
66
66
  base_feature do
67
- private
68
-
69
- def address_width
70
- configuration.address_width
67
+ build do
68
+ parameter :address_width, {
69
+ name: 'ADDRESS_WIDTH', data_type: :int, default: local_address_width
70
+ }
71
+ parameter :pre_decode, {
72
+ name: 'PRE_DECODE', data_type: :bit, width: 1, default: 0
73
+ }
74
+ parameter :base_address, {
75
+ name: 'BASE_ADDRESS', data_type: :bit, width: address_width,
76
+ default: all_bits_0
77
+ }
78
+ parameter :error_status, {
79
+ name: 'ERROR_STATUS', data_type: :bit, width: 1, default: 0
80
+ }
81
+ parameter :default_read_data, {
82
+ name: 'DEFAULT_READ_DATA', data_type: :bit, width: bus_width,
83
+ default: all_bits_0
84
+ }
71
85
  end
72
86
 
87
+ private
88
+
73
89
  def bus_width
74
90
  configuration.bus_width
75
91
  end
@@ -86,6 +102,10 @@ RgGen.define_list_feature(:register_block, :protocol) do
86
102
  register_block.total_registers
87
103
  end
88
104
 
105
+ def byte_size
106
+ register_block.byte_size
107
+ end
108
+
89
109
  def clock
90
110
  register_block.clock
91
111
  end
@@ -1,7 +1,13 @@
1
1
  rggen_apb_adapter #(
2
- .ADDRESS_WIDTH (<%= local_address_width %>),
3
- .BUS_WIDTH (<%= bus_width %>),
4
- .REGISTERS (<%= total_registers %>)
2
+ .ADDRESS_WIDTH (<%= address_width %>),
3
+ .LOCAL_ADDRESS_WIDTH (<%= local_address_width %>),
4
+ .BUS_WIDTH (<%= bus_width %>),
5
+ .REGISTERS (<%= total_registers %>),
6
+ .PRE_DECODE (<%= pre_decode %>),
7
+ .BASE_ADDRESS (<%= base_address %>),
8
+ .BYTE_SIZE (<%= byte_size %>),
9
+ .ERROR_STATUS (<%= error_status %>),
10
+ .DEFAULT_READ_DATA (<%= default_read_data %>)
5
11
  ) u_adapter (
6
12
  .i_clk (<%= clock %>),
7
13
  .i_rst_n (<%= reset %>),
@@ -22,42 +22,41 @@ RgGen.define_list_item_feature(:register_block, :protocol, :apb) do
22
22
  sv_rtl do
23
23
  build do
24
24
  if configuration.fold_sv_interface_port?
25
- interface_port :register_block, :apb_if, {
25
+ interface_port :apb_if, {
26
26
  name: 'apb_if', interface_type: 'rggen_apb_if', modport: 'slave'
27
27
  }
28
28
  else
29
- input :register_block, :psel, {
29
+ input :psel, {
30
30
  name: 'i_psel', data_type: :logic, width: 1
31
31
  }
32
- input :register_block, :penable, {
32
+ input :penable, {
33
33
  name: 'i_penable', data_type: :logic, width: 1
34
34
  }
35
- input :register_block, :paddr, {
35
+ input :paddr, {
36
36
  name: 'i_paddr', data_type: :logic, width: address_width
37
37
  }
38
- input :register_block, :pprot, {
38
+ input :pprot, {
39
39
  name: 'i_pprot', data_type: :logic, width: 3
40
40
  }
41
- input :register_block, :pwrite, {
41
+ input :pwrite, {
42
42
  name: 'i_pwrite', data_type: :logic, width: 1
43
43
  }
44
- input :register_block, :pstrb, {
45
- name: 'i_pstrb', data_type: :logic,
46
- width: byte_width
44
+ input :pstrb, {
45
+ name: 'i_pstrb', data_type: :logic, width: byte_width
47
46
  }
48
- input :register_block, :pwdata, {
47
+ input :pwdata, {
49
48
  name: 'i_pwdata', data_type: :logic, width: bus_width
50
49
  }
51
- output :register_block, :pready, {
50
+ output :pready, {
52
51
  name: 'o_pready', data_type: :logic, width: 1
53
52
  }
54
- output :register_block, :prdata, {
53
+ output :prdata, {
55
54
  name: 'o_prdata', data_type: :logic, width: bus_width
56
55
  }
57
- output :register_block, :pslverr, {
56
+ output :pslverr, {
58
57
  name: 'o_pslverr', data_type: :logic, width: 1
59
58
  }
60
- interface :register_block, :apb_if, {
59
+ interface :apb_if, {
61
60
  name: 'apb_if', interface_type: 'rggen_apb_if',
62
61
  parameter_values: [address_width, bus_width],
63
62
  variables: [
@@ -82,7 +81,7 @@ RgGen.define_list_item_feature(:register_block, :protocol, :apb) do
82
81
  [pready, apb_if.pready],
83
82
  [prdata, apb_if.prdata],
84
83
  [pslverr, apb_if.pslverr]
85
- ].map { |lhs, rhs| code << assign(lhs, rhs) << nl }
84
+ ].each { |lhs, rhs| code << assign(lhs, rhs) << nl }
86
85
  end
87
86
  end
88
87
  end
@@ -1,8 +1,14 @@
1
1
  rggen_axi4lite_adapter #(
2
- .ADDRESS_WIDTH (<%= local_address_width %>),
3
- .BUS_WIDTH (<%= bus_width %>),
4
- .REGISTERS (<%= total_registers %>),
5
- .WRITE_FIRST (<%= write_first %>)
2
+ .ADDRESS_WIDTH (<%= address_width %>),
3
+ .LOCAL_ADDRESS_WIDTH (<%= local_address_width %>),
4
+ .BUS_WIDTH (<%= bus_width %>),
5
+ .REGISTERS (<%= total_registers %>),
6
+ .PRE_DECODE (<%= pre_decode %>),
7
+ .BASE_ADDRESS (<%= base_address %>),
8
+ .BYTE_SIZE (<%= byte_size %>),
9
+ .ERROR_STATUS (<%= error_status %>),
10
+ .DEFAULT_READ_DATA (<%= default_read_data %>),
11
+ .WRITE_FIRST (<%= write_first %>)
6
12
  ) u_adapter (
7
13
  .i_clk (<%= clock %>),
8
14
  .i_rst_n (<%= reset %>),
@@ -13,75 +13,73 @@ RgGen.define_list_item_feature(:register_block, :protocol, :axi4lite) do
13
13
 
14
14
  sv_rtl do
15
15
  build do
16
- parameter :register_block, :write_first, {
17
- name: 'WRITE_FIRST',
18
- data_type: :bit,
19
- default: 1
16
+ parameter :write_first, {
17
+ name: 'WRITE_FIRST', data_type: :bit, default: 1
20
18
  }
21
19
  if configuration.fold_sv_interface_port?
22
- interface_port :register_block, :axi4lite_if, {
20
+ interface_port :axi4lite_if, {
23
21
  name: 'axi4lite_if',
24
22
  interface_type: 'rggen_axi4lite_if', modport: 'slave'
25
23
  }
26
24
  else
27
- input :register_block, :awvalid, {
25
+ input :awvalid, {
28
26
  name: 'i_awvalid', data_type: :logic, width: 1
29
27
  }
30
- output :register_block, :awready, {
28
+ output :awready, {
31
29
  name: 'o_awready', data_type: :logic, width: 1
32
30
  }
33
- input :register_block, :awaddr, {
31
+ input :awaddr, {
34
32
  name: 'i_awaddr', data_type: :logic, width: address_width
35
33
  }
36
- input :register_block, :awprot, {
34
+ input :awprot, {
37
35
  name: 'i_awprot', data_type: :logic, width: 3
38
36
  }
39
- input :register_block, :wvalid, {
37
+ input :wvalid, {
40
38
  name: 'i_wvalid', data_type: :logic, width: 1
41
39
  }
42
- output :register_block, :wready, {
40
+ output :wready, {
43
41
  name: 'o_wready', data_type: :logic, width: 1
44
42
  }
45
- input :register_block, :wdata, {
43
+ input :wdata, {
46
44
  name: 'i_wdata', data_type: :logic, width: bus_width
47
45
  }
48
- input :register_block, :wstrb, {
46
+ input :wstrb, {
49
47
  name: 'i_wstrb', data_type: :logic, width: byte_width
50
48
  }
51
- output :register_block, :bvalid, {
49
+ output :bvalid, {
52
50
  name: 'o_bvalid', data_type: :logic, width: 1
53
51
  }
54
- input :register_block, :bready, {
52
+ input :bready, {
55
53
  name: 'i_bready', data_type: :logic, width: 1
56
54
  }
57
- output :register_block, :bresp, {
55
+ output :bresp, {
58
56
  name: 'o_bresp', data_type: :logic, width: 2
59
57
  }
60
- input :register_block, :arvalid, {
58
+ input :arvalid, {
61
59
  name: 'i_arvalid', data_type: :logic, width: 1
62
60
  }
63
- output :register_block, :arready, {
61
+ output :arready, {
64
62
  name: 'o_arready', data_type: :logic, width: 1
65
63
  }
66
- input :register_block, :araddr, {
64
+ input :araddr, {
67
65
  name: 'i_araddr', data_type: :logic, width: address_width
68
66
  }
69
- input :register_block, :arprot, {
67
+ input :arprot, {
70
68
  name: 'i_arprot', data_type: :logic, width: 3
71
69
  }
72
- output :register_block, :rvalid, {
70
+ output :rvalid, {
73
71
  name: 'o_rvalid', data_type: :logic, width: 1
74
72
  }
75
- input :register_block, :rready, {
73
+ input :rready, {
76
74
  name: 'i_rready', data_type: :logic, width: 1
77
75
  }
78
- output :register_block, :rdata, {
76
+ output :rdata, {
79
77
  name: 'o_rdata', data_type: :logic, width: bus_width
80
78
  }
81
- output :register_block, :rresp, {
79
+ output :rresp, {
82
80
  name: 'o_rresp', data_type: :logic, width: 2
83
81
  }
84
- interface :register_block, :axi4lite_if, {
82
+ interface :axi4lite_if, {
85
83
  name: 'axi4lite_if', interface_type: 'rggen_axi4lite_if',
86
84
  parameter_values: [address_width, bus_width],
87
85
  variables: [
@@ -5,17 +5,16 @@ RgGen.define_simple_feature(:register_block, :sv_rtl_top) do
5
5
  export :total_registers
6
6
 
7
7
  build do
8
- input :register_block, :clock, {
8
+ input :clock, {
9
9
  name: 'i_clk', data_type: :logic, width: 1
10
10
  }
11
- input :register_block, :reset, {
11
+ input :reset, {
12
12
  name: 'i_rst_n', data_type: :logic, width: 1
13
13
  }
14
- interface :register_block, :register_if, {
14
+ interface :register_if, {
15
15
  name: 'register_if', interface_type: 'rggen_register_if',
16
16
  parameter_values: [address_width, bus_width, value_width],
17
- array_size: [total_registers],
18
- variables: ['value']
17
+ array_size: [total_registers], variables: ['value']
19
18
  }
20
19
  end
21
20
 
@@ -24,10 +23,7 @@ RgGen.define_simple_feature(:register_block, :sv_rtl_top) do
24
23
  end
25
24
 
26
25
  def total_registers
27
- register_block
28
- .registers
29
- .map(&:count)
30
- .inject(:+)
26
+ register_block.files_and_registers.map(&:count).sum
31
27
  end
32
28
 
33
29
  private
@@ -68,19 +64,21 @@ RgGen.define_simple_feature(:register_block, :sv_rtl_top) do
68
64
  end
69
65
 
70
66
  def parameters
71
- register_block.declarations(:register_block, :parameter)
67
+ register_block.declarations[:parameter]
72
68
  end
73
69
 
74
70
  def ports
75
- register_block.declarations(:register_block, :port)
71
+ register_block.declarations[:port]
76
72
  end
77
73
 
78
74
  def variables
79
- register_block.declarations(:register_block, :variable)
75
+ register_block.declarations[:variable]
80
76
  end
81
77
 
82
78
  def sv_module_body(code)
83
- register_block.generate_code(:register_block, :top_down, code)
79
+ { register_block: nil, register_file: 1 }.each do |kind, depth|
80
+ register_block.generate_code(code, kind, :top_down, depth)
81
+ end
84
82
  end
85
83
  end
86
84
  end
@@ -0,0 +1,30 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_simple_feature(:register_file, :sv_rtl_top) do
4
+ sv_rtl do
5
+ include RgGen::SystemVerilog::RTL::RegisterIndex
6
+
7
+ main_code :register_file do
8
+ local_scope("g_#{register_file.name}") do |scope|
9
+ scope.top_scope top_scope?
10
+ scope.loop_size loop_size
11
+ scope.body(&method(:body_code))
12
+ end
13
+ end
14
+
15
+ private
16
+
17
+ def top_scope?
18
+ register_file(:upper).nil?
19
+ end
20
+
21
+ def loop_size
22
+ (register_file.array? || nil) &&
23
+ local_loop_variables.zip(register_file.array_size).to_h
24
+ end
25
+
26
+ def body_code(code)
27
+ register_file.generate_code(code, :register_file, :top_down, 1)
28
+ end
29
+ end
30
+ end
@@ -0,0 +1,112 @@
1
+ # frozen_string_literal: true
2
+
3
+ module RgGen
4
+ module SystemVerilog
5
+ module RTL
6
+ module RegisterIndex
7
+ include PartialSum
8
+
9
+ EXPORTED_METHODS = [
10
+ :loop_variables, :local_loop_variables,
11
+ :local_index, :local_indices,
12
+ :index, :inside_roop?
13
+ ].freeze
14
+
15
+ def self.included(feature)
16
+ feature.module_eval do
17
+ EXPORTED_METHODS.each { |m| export m }
18
+
19
+ pre_build do
20
+ @base_index = files_and_registers.sum(&:count)
21
+ end
22
+ end
23
+ end
24
+
25
+ def loop_variables
26
+ (inside_roop? || nil) &&
27
+ [*upper_register_file&.loop_variables, *local_loop_variables]
28
+ end
29
+
30
+ def local_loop_variables
31
+ (component.array? || nil) &&
32
+ begin
33
+ start_depth = (upper_register_file&.loop_variables&.size || 0) + 1
34
+ Array.new(component.array_size.size) do |i|
35
+ create_identifier(loop_index(i + start_depth))
36
+ end
37
+ end
38
+ end
39
+
40
+ def local_index
41
+ (component.array? || nil) &&
42
+ local_index_coefficients
43
+ .zip(local_loop_variables)
44
+ .map { |operands| product(operands, false) }
45
+ .join('+')
46
+ end
47
+
48
+ def local_indices
49
+ [*upper_register_file&.local_indices, local_index]
50
+ end
51
+
52
+ def index(offset_or_offsets = nil)
53
+ operands = index_operands(offset_or_offsets)
54
+ partial_indices = partial_sums(operands)
55
+ if partial_indices.empty? || partial_indices.all?(&method(:integer?))
56
+ partial_indices.sum
57
+ else
58
+ partial_indices.join('+')
59
+ end
60
+ end
61
+
62
+ def inside_roop?
63
+ component.array? || upper_register_file&.inside_roop? || false
64
+ end
65
+
66
+ private
67
+
68
+ def upper_register_file
69
+ component.register_file
70
+ end
71
+
72
+ def local_index_coefficients
73
+ coefficients = []
74
+ component.array_size.reverse.inject(1) do |total, size|
75
+ coefficients.unshift(total)
76
+ total * size
77
+ end
78
+ coefficients
79
+ end
80
+
81
+ def index_operands(offset_or_offsets)
82
+ offsets = offset_or_offsets && Array(offset_or_offsets)
83
+ [
84
+ *upper_register_file&.index(offsets&.slice(0..-2)),
85
+ @base_index,
86
+ *local_register_index(offsets&.slice(-1))
87
+ ]
88
+ end
89
+
90
+ def local_register_index(offset)
91
+ (component.array? || nil) &&
92
+ begin
93
+ operands = [component.count(false), offset || local_index]
94
+ product(operands, true)
95
+ end
96
+ end
97
+
98
+ def product(operands, need_bracket)
99
+ if operands.all?(&method(:integer?))
100
+ operands.reduce(:*)
101
+ elsif operands.first == 1
102
+ operands.last
103
+ elsif need_bracket
104
+ "#{operands.first}*(#{operands.last})"
105
+ else
106
+ operands.join('*')
107
+ end
108
+ end
109
+ end
110
+ end
111
+ end
112
+ end