HDLRuby 2.4.12 → 2.4.19

Sign up to get free protection for your applications and to get access to all the features.
@@ -0,0 +1,1248 @@
1
+ `timescale 1ps/1ps
2
+
3
+ module _____00003aT0( );
4
+ reg rst;
5
+ reg clk1;
6
+ reg clk2;
7
+ reg clk3;
8
+ reg [7:0] idata;
9
+ reg [7:0] odata;
10
+ wire [7:0] odata2;
11
+ reg [3:0] counter;
12
+ reg [7:0] _00003a2;
13
+ wire [7:0] _00003a1;
14
+ wire [7:0] my__ch_00003a0_00003a_00003abuffer;
15
+
16
+ assign _00003a2 = my__ch_00003a0_00003a_00003abuffer;
17
+
18
+ assign _00003a1 = my__ch_00003a0_00003a_00003abuffer;
19
+
20
+ always @( posedge clk2 ) begin
21
+
22
+ if (rst) begin
23
+ idata <= 32'd0;
24
+ end
25
+ else begin
26
+ _00003a2 <= idata;
27
+ idata <= (idata + 32'd1);
28
+ end
29
+
30
+ end
31
+
32
+ always @( posedge clk3 ) begin
33
+
34
+ if (rst) begin
35
+ counter <= 32'd0;
36
+ end
37
+ else begin
38
+ odata <= _00003a1;
39
+ counter <= (counter + 32'd1);
40
+ end
41
+
42
+ end
43
+
44
+ initial begin
45
+
46
+ clk1 = 32'd0;
47
+
48
+ clk2 = 32'd0;
49
+
50
+ clk3 = 32'd0;
51
+
52
+ rst = 32'd0;
53
+
54
+ #10000
55
+
56
+ clk1 = 32'd1;
57
+
58
+ #10000
59
+
60
+ clk1 = 32'd0;
61
+
62
+ rst = 32'd1;
63
+
64
+ #3000
65
+
66
+ clk2 = 32'd1;
67
+
68
+ #3000
69
+
70
+ clk3 = 32'd0;
71
+
72
+ #4000
73
+
74
+ clk1 = 32'd1;
75
+
76
+ #10000
77
+
78
+ clk1 = 32'd0;
79
+
80
+ #3000
81
+
82
+ clk2 = 32'd0;
83
+
84
+ #3000
85
+
86
+ clk3 = 32'd1;
87
+
88
+ #2000
89
+
90
+ rst = 32'd0;
91
+
92
+ #2000
93
+
94
+ clk1 = 32'd1;
95
+
96
+ #10000
97
+
98
+ clk1 = 32'd0;
99
+
100
+ #3000
101
+
102
+ clk2 = ~clk2;
103
+
104
+ #3000
105
+
106
+ if ((clk2 == 32'd0)) begin
107
+ clk3 = ~clk3;
108
+ end
109
+
110
+ #4000
111
+
112
+ clk1 = 32'd1;
113
+
114
+ #10000
115
+
116
+ clk1 = 32'd0;
117
+
118
+ #3000
119
+
120
+ clk2 = ~clk2;
121
+
122
+ #3000
123
+
124
+ if ((clk2 == 32'd0)) begin
125
+ clk3 = ~clk3;
126
+ end
127
+
128
+ #4000
129
+
130
+ clk1 = 32'd1;
131
+
132
+ #10000
133
+
134
+ clk1 = 32'd0;
135
+
136
+ #3000
137
+
138
+ clk2 = ~clk2;
139
+
140
+ #3000
141
+
142
+ if ((clk2 == 32'd0)) begin
143
+ clk3 = ~clk3;
144
+ end
145
+
146
+ #4000
147
+
148
+ clk1 = 32'd1;
149
+
150
+ #10000
151
+
152
+ clk1 = 32'd0;
153
+
154
+ #3000
155
+
156
+ clk2 = ~clk2;
157
+
158
+ #3000
159
+
160
+ if ((clk2 == 32'd0)) begin
161
+ clk3 = ~clk3;
162
+ end
163
+
164
+ #4000
165
+
166
+ clk1 = 32'd1;
167
+
168
+ #10000
169
+
170
+ clk1 = 32'd0;
171
+
172
+ #3000
173
+
174
+ clk2 = ~clk2;
175
+
176
+ #3000
177
+
178
+ if ((clk2 == 32'd0)) begin
179
+ clk3 = ~clk3;
180
+ end
181
+
182
+ #4000
183
+
184
+ clk1 = 32'd1;
185
+
186
+ #10000
187
+
188
+ clk1 = 32'd0;
189
+
190
+ #3000
191
+
192
+ clk2 = ~clk2;
193
+
194
+ #3000
195
+
196
+ if ((clk2 == 32'd0)) begin
197
+ clk3 = ~clk3;
198
+ end
199
+
200
+ #4000
201
+
202
+ clk1 = 32'd1;
203
+
204
+ #10000
205
+
206
+ clk1 = 32'd0;
207
+
208
+ #3000
209
+
210
+ clk2 = ~clk2;
211
+
212
+ #3000
213
+
214
+ if ((clk2 == 32'd0)) begin
215
+ clk3 = ~clk3;
216
+ end
217
+
218
+ #4000
219
+
220
+ clk1 = 32'd1;
221
+
222
+ #10000
223
+
224
+ clk1 = 32'd0;
225
+
226
+ #3000
227
+
228
+ clk2 = ~clk2;
229
+
230
+ #3000
231
+
232
+ if ((clk2 == 32'd0)) begin
233
+ clk3 = ~clk3;
234
+ end
235
+
236
+ #4000
237
+
238
+ clk1 = 32'd1;
239
+
240
+ #10000
241
+
242
+ clk1 = 32'd0;
243
+
244
+ #3000
245
+
246
+ clk2 = ~clk2;
247
+
248
+ #3000
249
+
250
+ if ((clk2 == 32'd0)) begin
251
+ clk3 = ~clk3;
252
+ end
253
+
254
+ #4000
255
+
256
+ clk1 = 32'd1;
257
+
258
+ #10000
259
+
260
+ clk1 = 32'd0;
261
+
262
+ #3000
263
+
264
+ clk2 = ~clk2;
265
+
266
+ #3000
267
+
268
+ if ((clk2 == 32'd0)) begin
269
+ clk3 = ~clk3;
270
+ end
271
+
272
+ #4000
273
+
274
+ clk1 = 32'd1;
275
+
276
+ #10000
277
+
278
+ clk1 = 32'd0;
279
+
280
+ #3000
281
+
282
+ clk2 = ~clk2;
283
+
284
+ #3000
285
+
286
+ if ((clk2 == 32'd0)) begin
287
+ clk3 = ~clk3;
288
+ end
289
+
290
+ #4000
291
+
292
+ clk1 = 32'd1;
293
+
294
+ #10000
295
+
296
+ clk1 = 32'd0;
297
+
298
+ #3000
299
+
300
+ clk2 = ~clk2;
301
+
302
+ #3000
303
+
304
+ if ((clk2 == 32'd0)) begin
305
+ clk3 = ~clk3;
306
+ end
307
+
308
+ #4000
309
+
310
+ clk1 = 32'd1;
311
+
312
+ #10000
313
+
314
+ clk1 = 32'd0;
315
+
316
+ #3000
317
+
318
+ clk2 = ~clk2;
319
+
320
+ #3000
321
+
322
+ if ((clk2 == 32'd0)) begin
323
+ clk3 = ~clk3;
324
+ end
325
+
326
+ #4000
327
+
328
+ clk1 = 32'd1;
329
+
330
+ #10000
331
+
332
+ clk1 = 32'd0;
333
+
334
+ #3000
335
+
336
+ clk2 = ~clk2;
337
+
338
+ #3000
339
+
340
+ if ((clk2 == 32'd0)) begin
341
+ clk3 = ~clk3;
342
+ end
343
+
344
+ #4000
345
+
346
+ clk1 = 32'd1;
347
+
348
+ #10000
349
+
350
+ clk1 = 32'd0;
351
+
352
+ #3000
353
+
354
+ clk2 = ~clk2;
355
+
356
+ #3000
357
+
358
+ if ((clk2 == 32'd0)) begin
359
+ clk3 = ~clk3;
360
+ end
361
+
362
+ #4000
363
+
364
+ clk1 = 32'd1;
365
+
366
+ #10000
367
+
368
+ clk1 = 32'd0;
369
+
370
+ #3000
371
+
372
+ clk2 = ~clk2;
373
+
374
+ #3000
375
+
376
+ if ((clk2 == 32'd0)) begin
377
+ clk3 = ~clk3;
378
+ end
379
+
380
+ #4000
381
+
382
+ clk1 = 32'd1;
383
+
384
+ #10000
385
+
386
+ clk1 = 32'd0;
387
+
388
+ #3000
389
+
390
+ clk2 = ~clk2;
391
+
392
+ #3000
393
+
394
+ if ((clk2 == 32'd0)) begin
395
+ clk3 = ~clk3;
396
+ end
397
+
398
+ #4000
399
+
400
+ clk1 = 32'd1;
401
+
402
+ #10000
403
+
404
+ clk1 = 32'd0;
405
+
406
+ #3000
407
+
408
+ clk2 = ~clk2;
409
+
410
+ #3000
411
+
412
+ if ((clk2 == 32'd0)) begin
413
+ clk3 = ~clk3;
414
+ end
415
+
416
+ #4000
417
+
418
+ clk1 = 32'd1;
419
+
420
+ #10000
421
+
422
+ clk1 = 32'd0;
423
+
424
+ #3000
425
+
426
+ clk2 = ~clk2;
427
+
428
+ #3000
429
+
430
+ if ((clk2 == 32'd0)) begin
431
+ clk3 = ~clk3;
432
+ end
433
+
434
+ #4000
435
+
436
+ clk1 = 32'd1;
437
+
438
+ #10000
439
+
440
+ clk1 = 32'd0;
441
+
442
+ #3000
443
+
444
+ clk2 = ~clk2;
445
+
446
+ #3000
447
+
448
+ if ((clk2 == 32'd0)) begin
449
+ clk3 = ~clk3;
450
+ end
451
+
452
+ #4000
453
+
454
+ clk1 = 32'd1;
455
+
456
+ #10000
457
+
458
+ clk1 = 32'd0;
459
+
460
+ #3000
461
+
462
+ clk2 = ~clk2;
463
+
464
+ #3000
465
+
466
+ if ((clk2 == 32'd0)) begin
467
+ clk3 = ~clk3;
468
+ end
469
+
470
+ #4000
471
+
472
+ clk1 = 32'd1;
473
+
474
+ #10000
475
+
476
+ clk1 = 32'd0;
477
+
478
+ #3000
479
+
480
+ clk2 = ~clk2;
481
+
482
+ #3000
483
+
484
+ if ((clk2 == 32'd0)) begin
485
+ clk3 = ~clk3;
486
+ end
487
+
488
+ #4000
489
+
490
+ clk1 = 32'd1;
491
+
492
+ #10000
493
+
494
+ clk1 = 32'd0;
495
+
496
+ #3000
497
+
498
+ clk2 = ~clk2;
499
+
500
+ #3000
501
+
502
+ if ((clk2 == 32'd0)) begin
503
+ clk3 = ~clk3;
504
+ end
505
+
506
+ #4000
507
+
508
+ clk1 = 32'd1;
509
+
510
+ #10000
511
+
512
+ clk1 = 32'd0;
513
+
514
+ #3000
515
+
516
+ clk2 = ~clk2;
517
+
518
+ #3000
519
+
520
+ if ((clk2 == 32'd0)) begin
521
+ clk3 = ~clk3;
522
+ end
523
+
524
+ #4000
525
+
526
+ clk1 = 32'd1;
527
+
528
+ #10000
529
+
530
+ clk1 = 32'd0;
531
+
532
+ #3000
533
+
534
+ clk2 = ~clk2;
535
+
536
+ #3000
537
+
538
+ if ((clk2 == 32'd0)) begin
539
+ clk3 = ~clk3;
540
+ end
541
+
542
+ #4000
543
+
544
+ clk1 = 32'd1;
545
+
546
+ #10000
547
+
548
+ clk1 = 32'd0;
549
+
550
+ #3000
551
+
552
+ clk2 = ~clk2;
553
+
554
+ #3000
555
+
556
+ if ((clk2 == 32'd0)) begin
557
+ clk3 = ~clk3;
558
+ end
559
+
560
+ #4000
561
+
562
+ clk1 = 32'd1;
563
+
564
+ #10000
565
+
566
+ clk1 = 32'd0;
567
+
568
+ #3000
569
+
570
+ clk2 = ~clk2;
571
+
572
+ #3000
573
+
574
+ if ((clk2 == 32'd0)) begin
575
+ clk3 = ~clk3;
576
+ end
577
+
578
+ #4000
579
+
580
+ clk1 = 32'd1;
581
+
582
+ #10000
583
+
584
+ clk1 = 32'd0;
585
+
586
+ #3000
587
+
588
+ clk2 = ~clk2;
589
+
590
+ #3000
591
+
592
+ if ((clk2 == 32'd0)) begin
593
+ clk3 = ~clk3;
594
+ end
595
+
596
+ #4000
597
+
598
+ clk1 = 32'd1;
599
+
600
+ #10000
601
+
602
+ clk1 = 32'd0;
603
+
604
+ #3000
605
+
606
+ clk2 = ~clk2;
607
+
608
+ #3000
609
+
610
+ if ((clk2 == 32'd0)) begin
611
+ clk3 = ~clk3;
612
+ end
613
+
614
+ #4000
615
+
616
+ clk1 = 32'd1;
617
+
618
+ #10000
619
+
620
+ clk1 = 32'd0;
621
+
622
+ #3000
623
+
624
+ clk2 = ~clk2;
625
+
626
+ #3000
627
+
628
+ if ((clk2 == 32'd0)) begin
629
+ clk3 = ~clk3;
630
+ end
631
+
632
+ #4000
633
+
634
+ clk1 = 32'd1;
635
+
636
+ #10000
637
+
638
+ clk1 = 32'd0;
639
+
640
+ #3000
641
+
642
+ clk2 = ~clk2;
643
+
644
+ #3000
645
+
646
+ if ((clk2 == 32'd0)) begin
647
+ clk3 = ~clk3;
648
+ end
649
+
650
+ #4000
651
+
652
+ clk1 = 32'd1;
653
+
654
+ #10000
655
+
656
+ clk1 = 32'd0;
657
+
658
+ #3000
659
+
660
+ clk2 = ~clk2;
661
+
662
+ #3000
663
+
664
+ if ((clk2 == 32'd0)) begin
665
+ clk3 = ~clk3;
666
+ end
667
+
668
+ #4000
669
+
670
+ clk1 = 32'd1;
671
+
672
+ #10000
673
+
674
+ clk1 = 32'd0;
675
+
676
+ #3000
677
+
678
+ clk2 = ~clk2;
679
+
680
+ #3000
681
+
682
+ if ((clk2 == 32'd0)) begin
683
+ clk3 = ~clk3;
684
+ end
685
+
686
+ #4000
687
+
688
+ clk1 = 32'd1;
689
+
690
+ #10000
691
+
692
+ clk1 = 32'd0;
693
+
694
+ #3000
695
+
696
+ clk2 = ~clk2;
697
+
698
+ #3000
699
+
700
+ if ((clk2 == 32'd0)) begin
701
+ clk3 = ~clk3;
702
+ end
703
+
704
+ #4000
705
+
706
+ clk1 = 32'd1;
707
+
708
+ #10000
709
+
710
+ clk1 = 32'd0;
711
+
712
+ #3000
713
+
714
+ clk2 = ~clk2;
715
+
716
+ #3000
717
+
718
+ if ((clk2 == 32'd0)) begin
719
+ clk3 = ~clk3;
720
+ end
721
+
722
+ #4000
723
+
724
+ clk1 = 32'd1;
725
+
726
+ #10000
727
+
728
+ clk1 = 32'd0;
729
+
730
+ #3000
731
+
732
+ clk2 = ~clk2;
733
+
734
+ #3000
735
+
736
+ if ((clk2 == 32'd0)) begin
737
+ clk3 = ~clk3;
738
+ end
739
+
740
+ #4000
741
+
742
+ clk1 = 32'd1;
743
+
744
+ #10000
745
+
746
+ clk1 = 32'd0;
747
+
748
+ #3000
749
+
750
+ clk2 = ~clk2;
751
+
752
+ #3000
753
+
754
+ if ((clk2 == 32'd0)) begin
755
+ clk3 = ~clk3;
756
+ end
757
+
758
+ #4000
759
+
760
+ clk1 = 32'd1;
761
+
762
+ #10000
763
+
764
+ clk1 = 32'd0;
765
+
766
+ #3000
767
+
768
+ clk2 = ~clk2;
769
+
770
+ #3000
771
+
772
+ if ((clk2 == 32'd0)) begin
773
+ clk3 = ~clk3;
774
+ end
775
+
776
+ #4000
777
+
778
+ clk1 = 32'd1;
779
+
780
+ #10000
781
+
782
+ clk1 = 32'd0;
783
+
784
+ #3000
785
+
786
+ clk2 = ~clk2;
787
+
788
+ #3000
789
+
790
+ if ((clk2 == 32'd0)) begin
791
+ clk3 = ~clk3;
792
+ end
793
+
794
+ #4000
795
+
796
+ clk1 = 32'd1;
797
+
798
+ #10000
799
+
800
+ clk1 = 32'd0;
801
+
802
+ #3000
803
+
804
+ clk2 = ~clk2;
805
+
806
+ #3000
807
+
808
+ if ((clk2 == 32'd0)) begin
809
+ clk3 = ~clk3;
810
+ end
811
+
812
+ #4000
813
+
814
+ clk1 = 32'd1;
815
+
816
+ #10000
817
+
818
+ clk1 = 32'd0;
819
+
820
+ #3000
821
+
822
+ clk2 = ~clk2;
823
+
824
+ #3000
825
+
826
+ if ((clk2 == 32'd0)) begin
827
+ clk3 = ~clk3;
828
+ end
829
+
830
+ #4000
831
+
832
+ clk1 = 32'd1;
833
+
834
+ #10000
835
+
836
+ clk1 = 32'd0;
837
+
838
+ #3000
839
+
840
+ clk2 = ~clk2;
841
+
842
+ #3000
843
+
844
+ if ((clk2 == 32'd0)) begin
845
+ clk3 = ~clk3;
846
+ end
847
+
848
+ #4000
849
+
850
+ clk1 = 32'd1;
851
+
852
+ #10000
853
+
854
+ clk1 = 32'd0;
855
+
856
+ #3000
857
+
858
+ clk2 = ~clk2;
859
+
860
+ #3000
861
+
862
+ if ((clk2 == 32'd0)) begin
863
+ clk3 = ~clk3;
864
+ end
865
+
866
+ #4000
867
+
868
+ clk1 = 32'd1;
869
+
870
+ #10000
871
+
872
+ clk1 = 32'd0;
873
+
874
+ #3000
875
+
876
+ clk2 = ~clk2;
877
+
878
+ #3000
879
+
880
+ if ((clk2 == 32'd0)) begin
881
+ clk3 = ~clk3;
882
+ end
883
+
884
+ #4000
885
+
886
+ clk1 = 32'd1;
887
+
888
+ #10000
889
+
890
+ clk1 = 32'd0;
891
+
892
+ #3000
893
+
894
+ clk2 = ~clk2;
895
+
896
+ #3000
897
+
898
+ if ((clk2 == 32'd0)) begin
899
+ clk3 = ~clk3;
900
+ end
901
+
902
+ #4000
903
+
904
+ clk1 = 32'd1;
905
+
906
+ #10000
907
+
908
+ clk1 = 32'd0;
909
+
910
+ #3000
911
+
912
+ clk2 = ~clk2;
913
+
914
+ #3000
915
+
916
+ if ((clk2 == 32'd0)) begin
917
+ clk3 = ~clk3;
918
+ end
919
+
920
+ #4000
921
+
922
+ clk1 = 32'd1;
923
+
924
+ #10000
925
+
926
+ clk1 = 32'd0;
927
+
928
+ #3000
929
+
930
+ clk2 = ~clk2;
931
+
932
+ #3000
933
+
934
+ if ((clk2 == 32'd0)) begin
935
+ clk3 = ~clk3;
936
+ end
937
+
938
+ #4000
939
+
940
+ clk1 = 32'd1;
941
+
942
+ #10000
943
+
944
+ clk1 = 32'd0;
945
+
946
+ #3000
947
+
948
+ clk2 = ~clk2;
949
+
950
+ #3000
951
+
952
+ if ((clk2 == 32'd0)) begin
953
+ clk3 = ~clk3;
954
+ end
955
+
956
+ #4000
957
+
958
+ clk1 = 32'd1;
959
+
960
+ #10000
961
+
962
+ clk1 = 32'd0;
963
+
964
+ #3000
965
+
966
+ clk2 = ~clk2;
967
+
968
+ #3000
969
+
970
+ if ((clk2 == 32'd0)) begin
971
+ clk3 = ~clk3;
972
+ end
973
+
974
+ #4000
975
+
976
+ clk1 = 32'd1;
977
+
978
+ #10000
979
+
980
+ clk1 = 32'd0;
981
+
982
+ #3000
983
+
984
+ clk2 = ~clk2;
985
+
986
+ #3000
987
+
988
+ if ((clk2 == 32'd0)) begin
989
+ clk3 = ~clk3;
990
+ end
991
+
992
+ #4000
993
+
994
+ clk1 = 32'd1;
995
+
996
+ #10000
997
+
998
+ clk1 = 32'd0;
999
+
1000
+ #3000
1001
+
1002
+ clk2 = ~clk2;
1003
+
1004
+ #3000
1005
+
1006
+ if ((clk2 == 32'd0)) begin
1007
+ clk3 = ~clk3;
1008
+ end
1009
+
1010
+ #4000
1011
+
1012
+ clk1 = 32'd1;
1013
+
1014
+ #10000
1015
+
1016
+ clk1 = 32'd0;
1017
+
1018
+ #3000
1019
+
1020
+ clk2 = ~clk2;
1021
+
1022
+ #3000
1023
+
1024
+ if ((clk2 == 32'd0)) begin
1025
+ clk3 = ~clk3;
1026
+ end
1027
+
1028
+ #4000
1029
+
1030
+ clk1 = 32'd1;
1031
+
1032
+ #10000
1033
+
1034
+ clk1 = 32'd0;
1035
+
1036
+ #3000
1037
+
1038
+ clk2 = ~clk2;
1039
+
1040
+ #3000
1041
+
1042
+ if ((clk2 == 32'd0)) begin
1043
+ clk3 = ~clk3;
1044
+ end
1045
+
1046
+ #4000
1047
+
1048
+ clk1 = 32'd1;
1049
+
1050
+ #10000
1051
+
1052
+ clk1 = 32'd0;
1053
+
1054
+ #3000
1055
+
1056
+ clk2 = ~clk2;
1057
+
1058
+ #3000
1059
+
1060
+ if ((clk2 == 32'd0)) begin
1061
+ clk3 = ~clk3;
1062
+ end
1063
+
1064
+ #4000
1065
+
1066
+ clk1 = 32'd1;
1067
+
1068
+ #10000
1069
+
1070
+ clk1 = 32'd0;
1071
+
1072
+ #3000
1073
+
1074
+ clk2 = ~clk2;
1075
+
1076
+ #3000
1077
+
1078
+ if ((clk2 == 32'd0)) begin
1079
+ clk3 = ~clk3;
1080
+ end
1081
+
1082
+ #4000
1083
+
1084
+ clk1 = 32'd1;
1085
+
1086
+ #10000
1087
+
1088
+ clk1 = 32'd0;
1089
+
1090
+ #3000
1091
+
1092
+ clk2 = ~clk2;
1093
+
1094
+ #3000
1095
+
1096
+ if ((clk2 == 32'd0)) begin
1097
+ clk3 = ~clk3;
1098
+ end
1099
+
1100
+ #4000
1101
+
1102
+ clk1 = 32'd1;
1103
+
1104
+ #10000
1105
+
1106
+ clk1 = 32'd0;
1107
+
1108
+ #3000
1109
+
1110
+ clk2 = ~clk2;
1111
+
1112
+ #3000
1113
+
1114
+ if ((clk2 == 32'd0)) begin
1115
+ clk3 = ~clk3;
1116
+ end
1117
+
1118
+ #4000
1119
+
1120
+ clk1 = 32'd1;
1121
+
1122
+ #10000
1123
+
1124
+ clk1 = 32'd0;
1125
+
1126
+ #3000
1127
+
1128
+ clk2 = ~clk2;
1129
+
1130
+ #3000
1131
+
1132
+ if ((clk2 == 32'd0)) begin
1133
+ clk3 = ~clk3;
1134
+ end
1135
+
1136
+ #4000
1137
+
1138
+ clk1 = 32'd1;
1139
+
1140
+ #10000
1141
+
1142
+ clk1 = 32'd0;
1143
+
1144
+ #3000
1145
+
1146
+ clk2 = ~clk2;
1147
+
1148
+ #3000
1149
+
1150
+ if ((clk2 == 32'd0)) begin
1151
+ clk3 = ~clk3;
1152
+ end
1153
+
1154
+ #4000
1155
+
1156
+ clk1 = 32'd1;
1157
+
1158
+ #10000
1159
+
1160
+ clk1 = 32'd0;
1161
+
1162
+ #3000
1163
+
1164
+ clk2 = ~clk2;
1165
+
1166
+ #3000
1167
+
1168
+ if ((clk2 == 32'd0)) begin
1169
+ clk3 = ~clk3;
1170
+ end
1171
+
1172
+ #4000
1173
+
1174
+ clk1 = 32'd1;
1175
+
1176
+ #10000
1177
+
1178
+ clk1 = 32'd0;
1179
+
1180
+ #3000
1181
+
1182
+ clk2 = ~clk2;
1183
+
1184
+ #3000
1185
+
1186
+ if ((clk2 == 32'd0)) begin
1187
+ clk3 = ~clk3;
1188
+ end
1189
+
1190
+ #4000
1191
+
1192
+ clk1 = 32'd1;
1193
+
1194
+ #10000
1195
+
1196
+ clk1 = 32'd0;
1197
+
1198
+ #3000
1199
+
1200
+ clk2 = ~clk2;
1201
+
1202
+ #3000
1203
+
1204
+ if ((clk2 == 32'd0)) begin
1205
+ clk3 = ~clk3;
1206
+ end
1207
+
1208
+ #4000
1209
+
1210
+ clk1 = 32'd1;
1211
+
1212
+ #10000
1213
+
1214
+ clk1 = 32'd0;
1215
+
1216
+ #3000
1217
+
1218
+ clk2 = ~clk2;
1219
+
1220
+ #3000
1221
+
1222
+ if ((clk2 == 32'd0)) begin
1223
+ clk3 = ~clk3;
1224
+ end
1225
+
1226
+ #4000
1227
+
1228
+ clk1 = 32'd1;
1229
+
1230
+ #10000
1231
+
1232
+ clk1 = 32'd0;
1233
+
1234
+ #3000
1235
+
1236
+ clk2 = ~clk2;
1237
+
1238
+ #3000
1239
+
1240
+ if ((clk2 == 32'd0)) begin
1241
+ clk3 = ~clk3;
1242
+ end
1243
+
1244
+ #4000
1245
+
1246
+ end
1247
+
1248
+ endmodule