HDLRuby 2.4.11 → 2.4.18

Sign up to get free protection for your applications and to get access to all the features.
@@ -0,0 +1,1345 @@
1
+ `timescale 1ps/1ps
2
+
3
+ module _____00003aT0( );
4
+ reg rst;
5
+ reg clk1;
6
+ reg clk2;
7
+ reg clk3;
8
+ reg [7:0] idata;
9
+ reg [7:0] odata;
10
+ wire [7:0] odata2;
11
+ reg [3:0] counter;
12
+ wire _00003a13;
13
+ wire [1:0] _00003a14;
14
+ reg _00003a8;
15
+ reg [7:0] _00003a9;
16
+ wire [1:0] _00003a10;
17
+ reg _00003a11;
18
+ reg [7:0] _00003a12 [0:2];
19
+ wire [7:0] _00003a4;
20
+ wire _00003a5;
21
+ wire [1:0] _00003a6;
22
+ reg [7:0] _00003a7 [0:2];
23
+ reg _00003a1;
24
+ wire [1:0] _00003a2;
25
+ reg _00003a3;
26
+ reg [7:0] my__ch_00003a0_00003a_00003abuffer [0:2];
27
+ reg [1:0] my__ch_00003a0_00003a_00003arptr;
28
+ reg [1:0] my__ch_00003a0_00003a_00003awptr;
29
+ wire my__ch_00003a0_00003a_00003arreq;
30
+ wire my__ch_00003a0_00003a_00003awreq;
31
+ reg my__ch_00003a0_00003a_00003arack;
32
+ reg my__ch_00003a0_00003a_00003awack;
33
+ reg [7:0] my__ch_00003a0_00003a_00003ardata;
34
+ wire [7:0] my__ch_00003a0_00003a_00003awdata;
35
+ wire my__ch_00003a0_00003a_00003arsync;
36
+ wire my__ch_00003a0_00003a_00003awsync;
37
+
38
+ assign _00003a13 = my__ch_00003a0_00003a_00003awack;
39
+
40
+ assign _00003a14 = my__ch_00003a0_00003a_00003arptr;
41
+
42
+ assign _00003a8 = my__ch_00003a0_00003a_00003awreq;
43
+
44
+ assign _00003a9 = my__ch_00003a0_00003a_00003awdata;
45
+
46
+ assign _00003a10 = my__ch_00003a0_00003a_00003awptr;
47
+
48
+ assign _00003a11 = my__ch_00003a0_00003a_00003awsync;
49
+
50
+ assign _00003a12 = my__ch_00003a0_00003a_00003abuffer;
51
+
52
+ assign _00003a4 = my__ch_00003a0_00003a_00003ardata;
53
+
54
+ assign _00003a5 = my__ch_00003a0_00003a_00003arack;
55
+
56
+ assign _00003a6 = my__ch_00003a0_00003a_00003awptr;
57
+
58
+ assign _00003a7 = my__ch_00003a0_00003a_00003abuffer;
59
+
60
+ assign _00003a1 = my__ch_00003a0_00003a_00003arreq;
61
+
62
+ assign _00003a2 = my__ch_00003a0_00003a_00003arptr;
63
+
64
+ assign _00003a3 = my__ch_00003a0_00003a_00003arsync;
65
+
66
+ always @( posedge clk1 ) begin
67
+
68
+ _00003a11 <= 32'd0;
69
+
70
+ _00003a8 <= 32'd0;
71
+
72
+ if (rst) begin
73
+ idata <= 32'd0;
74
+ end
75
+ else begin
76
+ if (~_00003a13) begin
77
+ _00003a8 <= 32'd1;
78
+ _00003a9 <= idata;
79
+ end
80
+ else if (_00003a8) begin
81
+ _00003a8 <= 32'd0;
82
+ idata <= (idata + 32'd1);
83
+ end
84
+ end
85
+
86
+ end
87
+
88
+ always @( posedge clk3 ) begin
89
+
90
+ _00003a3 <= 32'd0;
91
+
92
+ _00003a1 <= 32'd0;
93
+
94
+ if (rst) begin
95
+ counter <= 32'd0;
96
+ end
97
+ else begin
98
+ if (~_00003a5) begin
99
+ _00003a1 <= 32'd1;
100
+ end
101
+ else if (_00003a1) begin
102
+ _00003a1 <= 32'd0;
103
+ odata <= _00003a4;
104
+ counter <= (counter + 32'd1);
105
+ end
106
+ end
107
+
108
+ end
109
+
110
+ initial begin
111
+
112
+ clk1 = 32'd0;
113
+
114
+ clk2 = 32'd0;
115
+
116
+ clk3 = 32'd0;
117
+
118
+ rst = 32'd0;
119
+
120
+ #10000
121
+
122
+ clk1 = 32'd1;
123
+
124
+ #10000
125
+
126
+ clk1 = 32'd0;
127
+
128
+ rst = 32'd1;
129
+
130
+ #3000
131
+
132
+ clk2 = 32'd1;
133
+
134
+ #3000
135
+
136
+ clk3 = 32'd0;
137
+
138
+ #4000
139
+
140
+ clk1 = 32'd1;
141
+
142
+ #10000
143
+
144
+ clk1 = 32'd0;
145
+
146
+ #3000
147
+
148
+ clk2 = 32'd0;
149
+
150
+ #3000
151
+
152
+ clk3 = 32'd1;
153
+
154
+ #2000
155
+
156
+ rst = 32'd0;
157
+
158
+ #2000
159
+
160
+ clk1 = 32'd1;
161
+
162
+ #10000
163
+
164
+ clk1 = 32'd0;
165
+
166
+ #3000
167
+
168
+ clk2 = ~clk2;
169
+
170
+ #3000
171
+
172
+ if ((clk2 == 32'd0)) begin
173
+ clk3 = ~clk3;
174
+ end
175
+
176
+ #4000
177
+
178
+ clk1 = 32'd1;
179
+
180
+ #10000
181
+
182
+ clk1 = 32'd0;
183
+
184
+ #3000
185
+
186
+ clk2 = ~clk2;
187
+
188
+ #3000
189
+
190
+ if ((clk2 == 32'd0)) begin
191
+ clk3 = ~clk3;
192
+ end
193
+
194
+ #4000
195
+
196
+ clk1 = 32'd1;
197
+
198
+ #10000
199
+
200
+ clk1 = 32'd0;
201
+
202
+ #3000
203
+
204
+ clk2 = ~clk2;
205
+
206
+ #3000
207
+
208
+ if ((clk2 == 32'd0)) begin
209
+ clk3 = ~clk3;
210
+ end
211
+
212
+ #4000
213
+
214
+ clk1 = 32'd1;
215
+
216
+ #10000
217
+
218
+ clk1 = 32'd0;
219
+
220
+ #3000
221
+
222
+ clk2 = ~clk2;
223
+
224
+ #3000
225
+
226
+ if ((clk2 == 32'd0)) begin
227
+ clk3 = ~clk3;
228
+ end
229
+
230
+ #4000
231
+
232
+ clk1 = 32'd1;
233
+
234
+ #10000
235
+
236
+ clk1 = 32'd0;
237
+
238
+ #3000
239
+
240
+ clk2 = ~clk2;
241
+
242
+ #3000
243
+
244
+ if ((clk2 == 32'd0)) begin
245
+ clk3 = ~clk3;
246
+ end
247
+
248
+ #4000
249
+
250
+ clk1 = 32'd1;
251
+
252
+ #10000
253
+
254
+ clk1 = 32'd0;
255
+
256
+ #3000
257
+
258
+ clk2 = ~clk2;
259
+
260
+ #3000
261
+
262
+ if ((clk2 == 32'd0)) begin
263
+ clk3 = ~clk3;
264
+ end
265
+
266
+ #4000
267
+
268
+ clk1 = 32'd1;
269
+
270
+ #10000
271
+
272
+ clk1 = 32'd0;
273
+
274
+ #3000
275
+
276
+ clk2 = ~clk2;
277
+
278
+ #3000
279
+
280
+ if ((clk2 == 32'd0)) begin
281
+ clk3 = ~clk3;
282
+ end
283
+
284
+ #4000
285
+
286
+ clk1 = 32'd1;
287
+
288
+ #10000
289
+
290
+ clk1 = 32'd0;
291
+
292
+ #3000
293
+
294
+ clk2 = ~clk2;
295
+
296
+ #3000
297
+
298
+ if ((clk2 == 32'd0)) begin
299
+ clk3 = ~clk3;
300
+ end
301
+
302
+ #4000
303
+
304
+ clk1 = 32'd1;
305
+
306
+ #10000
307
+
308
+ clk1 = 32'd0;
309
+
310
+ #3000
311
+
312
+ clk2 = ~clk2;
313
+
314
+ #3000
315
+
316
+ if ((clk2 == 32'd0)) begin
317
+ clk3 = ~clk3;
318
+ end
319
+
320
+ #4000
321
+
322
+ clk1 = 32'd1;
323
+
324
+ #10000
325
+
326
+ clk1 = 32'd0;
327
+
328
+ #3000
329
+
330
+ clk2 = ~clk2;
331
+
332
+ #3000
333
+
334
+ if ((clk2 == 32'd0)) begin
335
+ clk3 = ~clk3;
336
+ end
337
+
338
+ #4000
339
+
340
+ clk1 = 32'd1;
341
+
342
+ #10000
343
+
344
+ clk1 = 32'd0;
345
+
346
+ #3000
347
+
348
+ clk2 = ~clk2;
349
+
350
+ #3000
351
+
352
+ if ((clk2 == 32'd0)) begin
353
+ clk3 = ~clk3;
354
+ end
355
+
356
+ #4000
357
+
358
+ clk1 = 32'd1;
359
+
360
+ #10000
361
+
362
+ clk1 = 32'd0;
363
+
364
+ #3000
365
+
366
+ clk2 = ~clk2;
367
+
368
+ #3000
369
+
370
+ if ((clk2 == 32'd0)) begin
371
+ clk3 = ~clk3;
372
+ end
373
+
374
+ #4000
375
+
376
+ clk1 = 32'd1;
377
+
378
+ #10000
379
+
380
+ clk1 = 32'd0;
381
+
382
+ #3000
383
+
384
+ clk2 = ~clk2;
385
+
386
+ #3000
387
+
388
+ if ((clk2 == 32'd0)) begin
389
+ clk3 = ~clk3;
390
+ end
391
+
392
+ #4000
393
+
394
+ clk1 = 32'd1;
395
+
396
+ #10000
397
+
398
+ clk1 = 32'd0;
399
+
400
+ #3000
401
+
402
+ clk2 = ~clk2;
403
+
404
+ #3000
405
+
406
+ if ((clk2 == 32'd0)) begin
407
+ clk3 = ~clk3;
408
+ end
409
+
410
+ #4000
411
+
412
+ clk1 = 32'd1;
413
+
414
+ #10000
415
+
416
+ clk1 = 32'd0;
417
+
418
+ #3000
419
+
420
+ clk2 = ~clk2;
421
+
422
+ #3000
423
+
424
+ if ((clk2 == 32'd0)) begin
425
+ clk3 = ~clk3;
426
+ end
427
+
428
+ #4000
429
+
430
+ clk1 = 32'd1;
431
+
432
+ #10000
433
+
434
+ clk1 = 32'd0;
435
+
436
+ #3000
437
+
438
+ clk2 = ~clk2;
439
+
440
+ #3000
441
+
442
+ if ((clk2 == 32'd0)) begin
443
+ clk3 = ~clk3;
444
+ end
445
+
446
+ #4000
447
+
448
+ clk1 = 32'd1;
449
+
450
+ #10000
451
+
452
+ clk1 = 32'd0;
453
+
454
+ #3000
455
+
456
+ clk2 = ~clk2;
457
+
458
+ #3000
459
+
460
+ if ((clk2 == 32'd0)) begin
461
+ clk3 = ~clk3;
462
+ end
463
+
464
+ #4000
465
+
466
+ clk1 = 32'd1;
467
+
468
+ #10000
469
+
470
+ clk1 = 32'd0;
471
+
472
+ #3000
473
+
474
+ clk2 = ~clk2;
475
+
476
+ #3000
477
+
478
+ if ((clk2 == 32'd0)) begin
479
+ clk3 = ~clk3;
480
+ end
481
+
482
+ #4000
483
+
484
+ clk1 = 32'd1;
485
+
486
+ #10000
487
+
488
+ clk1 = 32'd0;
489
+
490
+ #3000
491
+
492
+ clk2 = ~clk2;
493
+
494
+ #3000
495
+
496
+ if ((clk2 == 32'd0)) begin
497
+ clk3 = ~clk3;
498
+ end
499
+
500
+ #4000
501
+
502
+ clk1 = 32'd1;
503
+
504
+ #10000
505
+
506
+ clk1 = 32'd0;
507
+
508
+ #3000
509
+
510
+ clk2 = ~clk2;
511
+
512
+ #3000
513
+
514
+ if ((clk2 == 32'd0)) begin
515
+ clk3 = ~clk3;
516
+ end
517
+
518
+ #4000
519
+
520
+ clk1 = 32'd1;
521
+
522
+ #10000
523
+
524
+ clk1 = 32'd0;
525
+
526
+ #3000
527
+
528
+ clk2 = ~clk2;
529
+
530
+ #3000
531
+
532
+ if ((clk2 == 32'd0)) begin
533
+ clk3 = ~clk3;
534
+ end
535
+
536
+ #4000
537
+
538
+ clk1 = 32'd1;
539
+
540
+ #10000
541
+
542
+ clk1 = 32'd0;
543
+
544
+ #3000
545
+
546
+ clk2 = ~clk2;
547
+
548
+ #3000
549
+
550
+ if ((clk2 == 32'd0)) begin
551
+ clk3 = ~clk3;
552
+ end
553
+
554
+ #4000
555
+
556
+ clk1 = 32'd1;
557
+
558
+ #10000
559
+
560
+ clk1 = 32'd0;
561
+
562
+ #3000
563
+
564
+ clk2 = ~clk2;
565
+
566
+ #3000
567
+
568
+ if ((clk2 == 32'd0)) begin
569
+ clk3 = ~clk3;
570
+ end
571
+
572
+ #4000
573
+
574
+ clk1 = 32'd1;
575
+
576
+ #10000
577
+
578
+ clk1 = 32'd0;
579
+
580
+ #3000
581
+
582
+ clk2 = ~clk2;
583
+
584
+ #3000
585
+
586
+ if ((clk2 == 32'd0)) begin
587
+ clk3 = ~clk3;
588
+ end
589
+
590
+ #4000
591
+
592
+ clk1 = 32'd1;
593
+
594
+ #10000
595
+
596
+ clk1 = 32'd0;
597
+
598
+ #3000
599
+
600
+ clk2 = ~clk2;
601
+
602
+ #3000
603
+
604
+ if ((clk2 == 32'd0)) begin
605
+ clk3 = ~clk3;
606
+ end
607
+
608
+ #4000
609
+
610
+ clk1 = 32'd1;
611
+
612
+ #10000
613
+
614
+ clk1 = 32'd0;
615
+
616
+ #3000
617
+
618
+ clk2 = ~clk2;
619
+
620
+ #3000
621
+
622
+ if ((clk2 == 32'd0)) begin
623
+ clk3 = ~clk3;
624
+ end
625
+
626
+ #4000
627
+
628
+ clk1 = 32'd1;
629
+
630
+ #10000
631
+
632
+ clk1 = 32'd0;
633
+
634
+ #3000
635
+
636
+ clk2 = ~clk2;
637
+
638
+ #3000
639
+
640
+ if ((clk2 == 32'd0)) begin
641
+ clk3 = ~clk3;
642
+ end
643
+
644
+ #4000
645
+
646
+ clk1 = 32'd1;
647
+
648
+ #10000
649
+
650
+ clk1 = 32'd0;
651
+
652
+ #3000
653
+
654
+ clk2 = ~clk2;
655
+
656
+ #3000
657
+
658
+ if ((clk2 == 32'd0)) begin
659
+ clk3 = ~clk3;
660
+ end
661
+
662
+ #4000
663
+
664
+ clk1 = 32'd1;
665
+
666
+ #10000
667
+
668
+ clk1 = 32'd0;
669
+
670
+ #3000
671
+
672
+ clk2 = ~clk2;
673
+
674
+ #3000
675
+
676
+ if ((clk2 == 32'd0)) begin
677
+ clk3 = ~clk3;
678
+ end
679
+
680
+ #4000
681
+
682
+ clk1 = 32'd1;
683
+
684
+ #10000
685
+
686
+ clk1 = 32'd0;
687
+
688
+ #3000
689
+
690
+ clk2 = ~clk2;
691
+
692
+ #3000
693
+
694
+ if ((clk2 == 32'd0)) begin
695
+ clk3 = ~clk3;
696
+ end
697
+
698
+ #4000
699
+
700
+ clk1 = 32'd1;
701
+
702
+ #10000
703
+
704
+ clk1 = 32'd0;
705
+
706
+ #3000
707
+
708
+ clk2 = ~clk2;
709
+
710
+ #3000
711
+
712
+ if ((clk2 == 32'd0)) begin
713
+ clk3 = ~clk3;
714
+ end
715
+
716
+ #4000
717
+
718
+ clk1 = 32'd1;
719
+
720
+ #10000
721
+
722
+ clk1 = 32'd0;
723
+
724
+ #3000
725
+
726
+ clk2 = ~clk2;
727
+
728
+ #3000
729
+
730
+ if ((clk2 == 32'd0)) begin
731
+ clk3 = ~clk3;
732
+ end
733
+
734
+ #4000
735
+
736
+ clk1 = 32'd1;
737
+
738
+ #10000
739
+
740
+ clk1 = 32'd0;
741
+
742
+ #3000
743
+
744
+ clk2 = ~clk2;
745
+
746
+ #3000
747
+
748
+ if ((clk2 == 32'd0)) begin
749
+ clk3 = ~clk3;
750
+ end
751
+
752
+ #4000
753
+
754
+ clk1 = 32'd1;
755
+
756
+ #10000
757
+
758
+ clk1 = 32'd0;
759
+
760
+ #3000
761
+
762
+ clk2 = ~clk2;
763
+
764
+ #3000
765
+
766
+ if ((clk2 == 32'd0)) begin
767
+ clk3 = ~clk3;
768
+ end
769
+
770
+ #4000
771
+
772
+ clk1 = 32'd1;
773
+
774
+ #10000
775
+
776
+ clk1 = 32'd0;
777
+
778
+ #3000
779
+
780
+ clk2 = ~clk2;
781
+
782
+ #3000
783
+
784
+ if ((clk2 == 32'd0)) begin
785
+ clk3 = ~clk3;
786
+ end
787
+
788
+ #4000
789
+
790
+ clk1 = 32'd1;
791
+
792
+ #10000
793
+
794
+ clk1 = 32'd0;
795
+
796
+ #3000
797
+
798
+ clk2 = ~clk2;
799
+
800
+ #3000
801
+
802
+ if ((clk2 == 32'd0)) begin
803
+ clk3 = ~clk3;
804
+ end
805
+
806
+ #4000
807
+
808
+ clk1 = 32'd1;
809
+
810
+ #10000
811
+
812
+ clk1 = 32'd0;
813
+
814
+ #3000
815
+
816
+ clk2 = ~clk2;
817
+
818
+ #3000
819
+
820
+ if ((clk2 == 32'd0)) begin
821
+ clk3 = ~clk3;
822
+ end
823
+
824
+ #4000
825
+
826
+ clk1 = 32'd1;
827
+
828
+ #10000
829
+
830
+ clk1 = 32'd0;
831
+
832
+ #3000
833
+
834
+ clk2 = ~clk2;
835
+
836
+ #3000
837
+
838
+ if ((clk2 == 32'd0)) begin
839
+ clk3 = ~clk3;
840
+ end
841
+
842
+ #4000
843
+
844
+ clk1 = 32'd1;
845
+
846
+ #10000
847
+
848
+ clk1 = 32'd0;
849
+
850
+ #3000
851
+
852
+ clk2 = ~clk2;
853
+
854
+ #3000
855
+
856
+ if ((clk2 == 32'd0)) begin
857
+ clk3 = ~clk3;
858
+ end
859
+
860
+ #4000
861
+
862
+ clk1 = 32'd1;
863
+
864
+ #10000
865
+
866
+ clk1 = 32'd0;
867
+
868
+ #3000
869
+
870
+ clk2 = ~clk2;
871
+
872
+ #3000
873
+
874
+ if ((clk2 == 32'd0)) begin
875
+ clk3 = ~clk3;
876
+ end
877
+
878
+ #4000
879
+
880
+ clk1 = 32'd1;
881
+
882
+ #10000
883
+
884
+ clk1 = 32'd0;
885
+
886
+ #3000
887
+
888
+ clk2 = ~clk2;
889
+
890
+ #3000
891
+
892
+ if ((clk2 == 32'd0)) begin
893
+ clk3 = ~clk3;
894
+ end
895
+
896
+ #4000
897
+
898
+ clk1 = 32'd1;
899
+
900
+ #10000
901
+
902
+ clk1 = 32'd0;
903
+
904
+ #3000
905
+
906
+ clk2 = ~clk2;
907
+
908
+ #3000
909
+
910
+ if ((clk2 == 32'd0)) begin
911
+ clk3 = ~clk3;
912
+ end
913
+
914
+ #4000
915
+
916
+ clk1 = 32'd1;
917
+
918
+ #10000
919
+
920
+ clk1 = 32'd0;
921
+
922
+ #3000
923
+
924
+ clk2 = ~clk2;
925
+
926
+ #3000
927
+
928
+ if ((clk2 == 32'd0)) begin
929
+ clk3 = ~clk3;
930
+ end
931
+
932
+ #4000
933
+
934
+ clk1 = 32'd1;
935
+
936
+ #10000
937
+
938
+ clk1 = 32'd0;
939
+
940
+ #3000
941
+
942
+ clk2 = ~clk2;
943
+
944
+ #3000
945
+
946
+ if ((clk2 == 32'd0)) begin
947
+ clk3 = ~clk3;
948
+ end
949
+
950
+ #4000
951
+
952
+ clk1 = 32'd1;
953
+
954
+ #10000
955
+
956
+ clk1 = 32'd0;
957
+
958
+ #3000
959
+
960
+ clk2 = ~clk2;
961
+
962
+ #3000
963
+
964
+ if ((clk2 == 32'd0)) begin
965
+ clk3 = ~clk3;
966
+ end
967
+
968
+ #4000
969
+
970
+ clk1 = 32'd1;
971
+
972
+ #10000
973
+
974
+ clk1 = 32'd0;
975
+
976
+ #3000
977
+
978
+ clk2 = ~clk2;
979
+
980
+ #3000
981
+
982
+ if ((clk2 == 32'd0)) begin
983
+ clk3 = ~clk3;
984
+ end
985
+
986
+ #4000
987
+
988
+ clk1 = 32'd1;
989
+
990
+ #10000
991
+
992
+ clk1 = 32'd0;
993
+
994
+ #3000
995
+
996
+ clk2 = ~clk2;
997
+
998
+ #3000
999
+
1000
+ if ((clk2 == 32'd0)) begin
1001
+ clk3 = ~clk3;
1002
+ end
1003
+
1004
+ #4000
1005
+
1006
+ clk1 = 32'd1;
1007
+
1008
+ #10000
1009
+
1010
+ clk1 = 32'd0;
1011
+
1012
+ #3000
1013
+
1014
+ clk2 = ~clk2;
1015
+
1016
+ #3000
1017
+
1018
+ if ((clk2 == 32'd0)) begin
1019
+ clk3 = ~clk3;
1020
+ end
1021
+
1022
+ #4000
1023
+
1024
+ clk1 = 32'd1;
1025
+
1026
+ #10000
1027
+
1028
+ clk1 = 32'd0;
1029
+
1030
+ #3000
1031
+
1032
+ clk2 = ~clk2;
1033
+
1034
+ #3000
1035
+
1036
+ if ((clk2 == 32'd0)) begin
1037
+ clk3 = ~clk3;
1038
+ end
1039
+
1040
+ #4000
1041
+
1042
+ clk1 = 32'd1;
1043
+
1044
+ #10000
1045
+
1046
+ clk1 = 32'd0;
1047
+
1048
+ #3000
1049
+
1050
+ clk2 = ~clk2;
1051
+
1052
+ #3000
1053
+
1054
+ if ((clk2 == 32'd0)) begin
1055
+ clk3 = ~clk3;
1056
+ end
1057
+
1058
+ #4000
1059
+
1060
+ clk1 = 32'd1;
1061
+
1062
+ #10000
1063
+
1064
+ clk1 = 32'd0;
1065
+
1066
+ #3000
1067
+
1068
+ clk2 = ~clk2;
1069
+
1070
+ #3000
1071
+
1072
+ if ((clk2 == 32'd0)) begin
1073
+ clk3 = ~clk3;
1074
+ end
1075
+
1076
+ #4000
1077
+
1078
+ clk1 = 32'd1;
1079
+
1080
+ #10000
1081
+
1082
+ clk1 = 32'd0;
1083
+
1084
+ #3000
1085
+
1086
+ clk2 = ~clk2;
1087
+
1088
+ #3000
1089
+
1090
+ if ((clk2 == 32'd0)) begin
1091
+ clk3 = ~clk3;
1092
+ end
1093
+
1094
+ #4000
1095
+
1096
+ clk1 = 32'd1;
1097
+
1098
+ #10000
1099
+
1100
+ clk1 = 32'd0;
1101
+
1102
+ #3000
1103
+
1104
+ clk2 = ~clk2;
1105
+
1106
+ #3000
1107
+
1108
+ if ((clk2 == 32'd0)) begin
1109
+ clk3 = ~clk3;
1110
+ end
1111
+
1112
+ #4000
1113
+
1114
+ clk1 = 32'd1;
1115
+
1116
+ #10000
1117
+
1118
+ clk1 = 32'd0;
1119
+
1120
+ #3000
1121
+
1122
+ clk2 = ~clk2;
1123
+
1124
+ #3000
1125
+
1126
+ if ((clk2 == 32'd0)) begin
1127
+ clk3 = ~clk3;
1128
+ end
1129
+
1130
+ #4000
1131
+
1132
+ clk1 = 32'd1;
1133
+
1134
+ #10000
1135
+
1136
+ clk1 = 32'd0;
1137
+
1138
+ #3000
1139
+
1140
+ clk2 = ~clk2;
1141
+
1142
+ #3000
1143
+
1144
+ if ((clk2 == 32'd0)) begin
1145
+ clk3 = ~clk3;
1146
+ end
1147
+
1148
+ #4000
1149
+
1150
+ clk1 = 32'd1;
1151
+
1152
+ #10000
1153
+
1154
+ clk1 = 32'd0;
1155
+
1156
+ #3000
1157
+
1158
+ clk2 = ~clk2;
1159
+
1160
+ #3000
1161
+
1162
+ if ((clk2 == 32'd0)) begin
1163
+ clk3 = ~clk3;
1164
+ end
1165
+
1166
+ #4000
1167
+
1168
+ clk1 = 32'd1;
1169
+
1170
+ #10000
1171
+
1172
+ clk1 = 32'd0;
1173
+
1174
+ #3000
1175
+
1176
+ clk2 = ~clk2;
1177
+
1178
+ #3000
1179
+
1180
+ if ((clk2 == 32'd0)) begin
1181
+ clk3 = ~clk3;
1182
+ end
1183
+
1184
+ #4000
1185
+
1186
+ clk1 = 32'd1;
1187
+
1188
+ #10000
1189
+
1190
+ clk1 = 32'd0;
1191
+
1192
+ #3000
1193
+
1194
+ clk2 = ~clk2;
1195
+
1196
+ #3000
1197
+
1198
+ if ((clk2 == 32'd0)) begin
1199
+ clk3 = ~clk3;
1200
+ end
1201
+
1202
+ #4000
1203
+
1204
+ clk1 = 32'd1;
1205
+
1206
+ #10000
1207
+
1208
+ clk1 = 32'd0;
1209
+
1210
+ #3000
1211
+
1212
+ clk2 = ~clk2;
1213
+
1214
+ #3000
1215
+
1216
+ if ((clk2 == 32'd0)) begin
1217
+ clk3 = ~clk3;
1218
+ end
1219
+
1220
+ #4000
1221
+
1222
+ clk1 = 32'd1;
1223
+
1224
+ #10000
1225
+
1226
+ clk1 = 32'd0;
1227
+
1228
+ #3000
1229
+
1230
+ clk2 = ~clk2;
1231
+
1232
+ #3000
1233
+
1234
+ if ((clk2 == 32'd0)) begin
1235
+ clk3 = ~clk3;
1236
+ end
1237
+
1238
+ #4000
1239
+
1240
+ clk1 = 32'd1;
1241
+
1242
+ #10000
1243
+
1244
+ clk1 = 32'd0;
1245
+
1246
+ #3000
1247
+
1248
+ clk2 = ~clk2;
1249
+
1250
+ #3000
1251
+
1252
+ if ((clk2 == 32'd0)) begin
1253
+ clk3 = ~clk3;
1254
+ end
1255
+
1256
+ #4000
1257
+
1258
+ clk1 = 32'd1;
1259
+
1260
+ #10000
1261
+
1262
+ clk1 = 32'd0;
1263
+
1264
+ #3000
1265
+
1266
+ clk2 = ~clk2;
1267
+
1268
+ #3000
1269
+
1270
+ if ((clk2 == 32'd0)) begin
1271
+ clk3 = ~clk3;
1272
+ end
1273
+
1274
+ #4000
1275
+
1276
+ clk1 = 32'd1;
1277
+
1278
+ #10000
1279
+
1280
+ clk1 = 32'd0;
1281
+
1282
+ #3000
1283
+
1284
+ clk2 = ~clk2;
1285
+
1286
+ #3000
1287
+
1288
+ if ((clk2 == 32'd0)) begin
1289
+ clk3 = ~clk3;
1290
+ end
1291
+
1292
+ #4000
1293
+
1294
+ clk1 = 32'd1;
1295
+
1296
+ #10000
1297
+
1298
+ clk1 = 32'd0;
1299
+
1300
+ #3000
1301
+
1302
+ clk2 = ~clk2;
1303
+
1304
+ #3000
1305
+
1306
+ if ((clk2 == 32'd0)) begin
1307
+ clk3 = ~clk3;
1308
+ end
1309
+
1310
+ #4000
1311
+
1312
+ end
1313
+
1314
+ always @( posedge clk2 ) begin
1315
+
1316
+ if (rst) begin
1317
+ my__ch_00003a0_00003a_00003arptr <= 32'd0;
1318
+ my__ch_00003a0_00003a_00003awptr <= 32'd0;
1319
+ end
1320
+ else begin
1321
+ if (~my__ch_00003a0_00003a_00003arsync) begin
1322
+ if (~my__ch_00003a0_00003a_00003arreq) begin
1323
+ my__ch_00003a0_00003a_00003arack <= 32'd0;
1324
+ end
1325
+ if (((my__ch_00003a0_00003a_00003arreq & ~my__ch_00003a0_00003a_00003arack) & (my__ch_00003a0_00003a_00003arptr != my__ch_00003a0_00003a_00003awptr))) begin
1326
+ my__ch_00003a0_00003a_00003ardata <= my__ch_00003a0_00003a_00003abuffer[my__ch_00003a0_00003a_00003arptr];
1327
+ my__ch_00003a0_00003a_00003arptr <= ((my__ch_00003a0_00003a_00003arptr + 32'd1) % 32'd3);
1328
+ my__ch_00003a0_00003a_00003arack <= 32'd1;
1329
+ end
1330
+ end
1331
+ if (~my__ch_00003a0_00003a_00003awsync) begin
1332
+ if (~my__ch_00003a0_00003a_00003awreq) begin
1333
+ my__ch_00003a0_00003a_00003awack <= 32'd0;
1334
+ end
1335
+ if (((my__ch_00003a0_00003a_00003awreq & ~my__ch_00003a0_00003a_00003awack) & (((my__ch_00003a0_00003a_00003awptr + 32'd1) % 32'd3) != my__ch_00003a0_00003a_00003arptr))) begin
1336
+ my__ch_00003a0_00003a_00003abuffer[my__ch_00003a0_00003a_00003awptr] <= my__ch_00003a0_00003a_00003awdata;
1337
+ my__ch_00003a0_00003a_00003awptr <= ((my__ch_00003a0_00003a_00003awptr + 32'd1) % 32'd3);
1338
+ my__ch_00003a0_00003a_00003awack <= 32'd1;
1339
+ end
1340
+ end
1341
+ end
1342
+
1343
+ end
1344
+
1345
+ endmodule