wasmtime 11.0.0 → 12.0.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (2468) hide show
  1. checksums.yaml +4 -4
  2. data/Cargo.lock +234 -173
  3. data/ext/Cargo.toml +7 -7
  4. data/ext/cargo-vendor/addr2line-0.20.0/.cargo-checksum.json +1 -0
  5. data/ext/cargo-vendor/addr2line-0.20.0/CHANGELOG.md +321 -0
  6. data/ext/cargo-vendor/addr2line-0.20.0/Cargo.lock +548 -0
  7. data/ext/cargo-vendor/addr2line-0.20.0/Cargo.toml +145 -0
  8. data/ext/cargo-vendor/addr2line-0.20.0/examples/addr2line.rs +306 -0
  9. data/ext/cargo-vendor/addr2line-0.20.0/src/builtin_split_dwarf_loader.rs +164 -0
  10. data/ext/cargo-vendor/addr2line-0.20.0/src/function.rs +555 -0
  11. data/ext/cargo-vendor/addr2line-0.20.0/src/lazy.rs +31 -0
  12. data/ext/cargo-vendor/addr2line-0.20.0/src/lib.rs +1729 -0
  13. data/ext/cargo-vendor/addr2line-0.20.0/tests/correctness.rs +126 -0
  14. data/ext/cargo-vendor/addr2line-0.20.0/tests/output_equivalence.rs +135 -0
  15. data/ext/cargo-vendor/addr2line-0.20.0/tests/parse.rs +114 -0
  16. data/ext/cargo-vendor/bytes-1.4.0/.cargo-checksum.json +1 -0
  17. data/ext/cargo-vendor/bytes-1.4.0/CHANGELOG.md +283 -0
  18. data/ext/cargo-vendor/bytes-1.4.0/Cargo.toml +54 -0
  19. data/ext/cargo-vendor/bytes-1.4.0/LICENSE +25 -0
  20. data/ext/cargo-vendor/bytes-1.4.0/README.md +56 -0
  21. data/ext/cargo-vendor/bytes-1.4.0/benches/buf.rs +186 -0
  22. data/ext/cargo-vendor/bytes-1.4.0/benches/bytes.rs +120 -0
  23. data/ext/cargo-vendor/bytes-1.4.0/benches/bytes_mut.rs +266 -0
  24. data/ext/cargo-vendor/bytes-1.4.0/ci/miri.sh +11 -0
  25. data/ext/cargo-vendor/bytes-1.4.0/ci/test-stable.sh +28 -0
  26. data/ext/cargo-vendor/bytes-1.4.0/ci/tsan.sh +13 -0
  27. data/ext/cargo-vendor/bytes-1.4.0/clippy.toml +1 -0
  28. data/ext/cargo-vendor/bytes-1.4.0/src/buf/buf_impl.rs +1394 -0
  29. data/ext/cargo-vendor/bytes-1.4.0/src/buf/buf_mut.rs +1493 -0
  30. data/ext/cargo-vendor/bytes-1.4.0/src/buf/chain.rs +242 -0
  31. data/ext/cargo-vendor/bytes-1.4.0/src/buf/iter.rs +130 -0
  32. data/ext/cargo-vendor/bytes-1.4.0/src/buf/limit.rs +75 -0
  33. data/ext/cargo-vendor/bytes-1.4.0/src/buf/mod.rs +41 -0
  34. data/ext/cargo-vendor/bytes-1.4.0/src/buf/reader.rs +81 -0
  35. data/ext/cargo-vendor/bytes-1.4.0/src/buf/take.rs +155 -0
  36. data/ext/cargo-vendor/bytes-1.4.0/src/buf/uninit_slice.rs +213 -0
  37. data/ext/cargo-vendor/bytes-1.4.0/src/buf/vec_deque.rs +22 -0
  38. data/ext/cargo-vendor/bytes-1.4.0/src/buf/writer.rs +88 -0
  39. data/ext/cargo-vendor/bytes-1.4.0/src/bytes.rs +1304 -0
  40. data/ext/cargo-vendor/bytes-1.4.0/src/bytes_mut.rs +1812 -0
  41. data/ext/cargo-vendor/bytes-1.4.0/src/fmt/debug.rs +49 -0
  42. data/ext/cargo-vendor/bytes-1.4.0/src/fmt/hex.rs +37 -0
  43. data/ext/cargo-vendor/bytes-1.4.0/src/fmt/mod.rs +5 -0
  44. data/ext/cargo-vendor/bytes-1.4.0/src/lib.rs +117 -0
  45. data/ext/cargo-vendor/bytes-1.4.0/src/loom.rs +30 -0
  46. data/ext/cargo-vendor/bytes-1.4.0/src/serde.rs +89 -0
  47. data/ext/cargo-vendor/bytes-1.4.0/tests/test_buf.rs +120 -0
  48. data/ext/cargo-vendor/bytes-1.4.0/tests/test_buf_mut.rs +178 -0
  49. data/ext/cargo-vendor/bytes-1.4.0/tests/test_bytes.rs +1210 -0
  50. data/ext/cargo-vendor/bytes-1.4.0/tests/test_bytes_odd_alloc.rs +97 -0
  51. data/ext/cargo-vendor/bytes-1.4.0/tests/test_bytes_vec_alloc.rs +143 -0
  52. data/ext/cargo-vendor/bytes-1.4.0/tests/test_chain.rs +177 -0
  53. data/ext/cargo-vendor/bytes-1.4.0/tests/test_debug.rs +35 -0
  54. data/ext/cargo-vendor/bytes-1.4.0/tests/test_iter.rs +21 -0
  55. data/ext/cargo-vendor/bytes-1.4.0/tests/test_reader.rs +29 -0
  56. data/ext/cargo-vendor/bytes-1.4.0/tests/test_serde.rs +20 -0
  57. data/ext/cargo-vendor/bytes-1.4.0/tests/test_take.rs +32 -0
  58. data/ext/cargo-vendor/cap-fs-ext-2.0.0/.cargo-checksum.json +1 -0
  59. data/ext/cargo-vendor/cap-fs-ext-2.0.0/Cargo.toml +63 -0
  60. data/ext/cargo-vendor/cap-fs-ext-2.0.0/src/is_file_read_write.rs +61 -0
  61. data/ext/cargo-vendor/cap-primitives-2.0.0/.cargo-checksum.json +1 -0
  62. data/ext/cargo-vendor/cap-primitives-2.0.0/Cargo.toml +81 -0
  63. data/ext/cargo-vendor/cap-primitives-2.0.0/src/fs/mod.rs +125 -0
  64. data/ext/cargo-vendor/cap-primitives-2.0.0/src/net/pool.rs +284 -0
  65. data/ext/cargo-vendor/cap-primitives-2.0.0/src/rustix/fs/copy_impl.rs +233 -0
  66. data/ext/cargo-vendor/cap-primitives-2.0.0/src/rustix/fs/metadata_ext.rs +384 -0
  67. data/ext/cargo-vendor/cap-primitives-2.0.0/src/rustix/fs/oflags.rs +98 -0
  68. data/ext/cargo-vendor/cap-primitives-2.0.0/src/rustix/fs/open_unchecked.rs +71 -0
  69. data/ext/cargo-vendor/cap-primitives-2.0.0/src/rustix/fs/reopen_impl.rs +18 -0
  70. data/ext/cargo-vendor/cap-primitives-2.0.0/src/rustix/fs/set_permissions_impl.rs +49 -0
  71. data/ext/cargo-vendor/cap-primitives-2.0.0/src/rustix/fs/stat_unchecked.rs +79 -0
  72. data/ext/cargo-vendor/cap-primitives-2.0.0/src/rustix/fs/times.rs +61 -0
  73. data/ext/cargo-vendor/cap-primitives-2.0.0/src/rustix/linux/fs/procfs.rs +81 -0
  74. data/ext/cargo-vendor/cap-primitives-2.0.0/src/windows/fs/create_file_at_w.rs +273 -0
  75. data/ext/cargo-vendor/cap-primitives-2.0.0/src/windows/fs/metadata_ext.rs +214 -0
  76. data/ext/cargo-vendor/cap-primitives-2.0.0/src/windows/fs/open_unchecked.rs +228 -0
  77. data/ext/cargo-vendor/cap-rand-2.0.0/.cargo-checksum.json +1 -0
  78. data/ext/cargo-vendor/cap-rand-2.0.0/Cargo.toml +38 -0
  79. data/ext/cargo-vendor/cap-std-2.0.0/.cargo-checksum.json +1 -0
  80. data/ext/cargo-vendor/cap-std-2.0.0/Cargo.toml +67 -0
  81. data/ext/cargo-vendor/cap-std-2.0.0/build.rs +41 -0
  82. data/ext/cargo-vendor/cap-std-2.0.0/src/fs/file.rs +614 -0
  83. data/ext/cargo-vendor/cap-std-2.0.0/src/fs_utf8/file.rs +608 -0
  84. data/ext/cargo-vendor/cap-std-2.0.0/src/lib.rs +51 -0
  85. data/ext/cargo-vendor/cap-std-2.0.0/src/net/udp_socket.rs +418 -0
  86. data/ext/cargo-vendor/cap-time-ext-2.0.0/.cargo-checksum.json +1 -0
  87. data/ext/cargo-vendor/cap-time-ext-2.0.0/Cargo.toml +42 -0
  88. data/ext/cargo-vendor/cap-time-ext-2.0.0/src/monotonic_clock.rs +62 -0
  89. data/ext/cargo-vendor/cap-time-ext-2.0.0/src/system_clock.rs +59 -0
  90. data/ext/cargo-vendor/cranelift-bforest-0.99.1/.cargo-checksum.json +1 -0
  91. data/ext/cargo-vendor/cranelift-bforest-0.99.1/Cargo.toml +31 -0
  92. data/ext/cargo-vendor/cranelift-codegen-0.99.1/.cargo-checksum.json +1 -0
  93. data/ext/cargo-vendor/cranelift-codegen-0.99.1/Cargo.toml +159 -0
  94. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/cursor.rs +644 -0
  95. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/ir/extfunc.rs +411 -0
  96. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/ir/function.rs +469 -0
  97. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/ir/globalvalue.rs +155 -0
  98. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/ir/mod.rs +106 -0
  99. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/aarch64/abi.rs +1543 -0
  100. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/aarch64/inst/emit.rs +3919 -0
  101. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/aarch64/inst/mod.rs +3039 -0
  102. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/aarch64/inst.isle +4048 -0
  103. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/aarch64/lower/isle.rs +873 -0
  104. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/aarch64/lower.isle +2907 -0
  105. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/riscv64/abi.rs +985 -0
  106. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/riscv64/inst/emit.rs +3254 -0
  107. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/riscv64/inst/mod.rs +2125 -0
  108. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/riscv64/inst.isle +2972 -0
  109. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/riscv64/lower/isle.rs +620 -0
  110. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/riscv64/lower.isle +2002 -0
  111. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/x64/abi.rs +1204 -0
  112. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/isa/x64/lower.isle +4651 -0
  113. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/lib.rs +140 -0
  114. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/machinst/abi.rs +2641 -0
  115. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/machinst/buffer.rs +2365 -0
  116. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/machinst/isle.rs +837 -0
  117. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/machinst/lower.rs +1393 -0
  118. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/machinst/vcode.rs +1591 -0
  119. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/opts/cprop.isle +200 -0
  120. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/opts/icmp.isle +177 -0
  121. data/ext/cargo-vendor/cranelift-codegen-0.99.1/src/value_label.rs +32 -0
  122. data/ext/cargo-vendor/cranelift-codegen-meta-0.99.1/.cargo-checksum.json +1 -0
  123. data/ext/cargo-vendor/cranelift-codegen-meta-0.99.1/Cargo.toml +26 -0
  124. data/ext/cargo-vendor/cranelift-codegen-meta-0.99.1/src/gen_inst.rs +1785 -0
  125. data/ext/cargo-vendor/cranelift-codegen-meta-0.99.1/src/isa/arm64.rs +53 -0
  126. data/ext/cargo-vendor/cranelift-codegen-meta-0.99.1/src/isa/mod.rs +66 -0
  127. data/ext/cargo-vendor/cranelift-codegen-meta-0.99.1/src/isa/riscv64.rs +101 -0
  128. data/ext/cargo-vendor/cranelift-codegen-meta-0.99.1/src/isa/s390x.rs +39 -0
  129. data/ext/cargo-vendor/cranelift-codegen-meta-0.99.1/src/isa/x86.rs +401 -0
  130. data/ext/cargo-vendor/cranelift-codegen-meta-0.99.1/src/lib.rs +59 -0
  131. data/ext/cargo-vendor/cranelift-codegen-meta-0.99.1/src/shared/mod.rs +88 -0
  132. data/ext/cargo-vendor/cranelift-codegen-shared-0.99.1/.cargo-checksum.json +1 -0
  133. data/ext/cargo-vendor/cranelift-codegen-shared-0.99.1/Cargo.toml +22 -0
  134. data/ext/cargo-vendor/cranelift-control-0.99.1/.cargo-checksum.json +1 -0
  135. data/ext/cargo-vendor/cranelift-control-0.99.1/Cargo.toml +30 -0
  136. data/ext/cargo-vendor/cranelift-entity-0.99.1/.cargo-checksum.json +1 -0
  137. data/ext/cargo-vendor/cranelift-entity-0.99.1/Cargo.toml +35 -0
  138. data/ext/cargo-vendor/cranelift-frontend-0.99.1/.cargo-checksum.json +1 -0
  139. data/ext/cargo-vendor/cranelift-frontend-0.99.1/Cargo.toml +53 -0
  140. data/ext/cargo-vendor/cranelift-frontend-0.99.1/src/lib.rs +204 -0
  141. data/ext/cargo-vendor/cranelift-isle-0.99.1/.cargo-checksum.json +1 -0
  142. data/ext/cargo-vendor/cranelift-isle-0.99.1/Cargo.toml +37 -0
  143. data/ext/cargo-vendor/cranelift-native-0.99.1/.cargo-checksum.json +1 -0
  144. data/ext/cargo-vendor/cranelift-native-0.99.1/Cargo.toml +38 -0
  145. data/ext/cargo-vendor/cranelift-wasm-0.99.1/.cargo-checksum.json +1 -0
  146. data/ext/cargo-vendor/cranelift-wasm-0.99.1/Cargo.toml +85 -0
  147. data/ext/cargo-vendor/cranelift-wasm-0.99.1/src/code_translator.rs +3608 -0
  148. data/ext/cargo-vendor/cranelift-wasm-0.99.1/src/environ/dummy.rs +942 -0
  149. data/ext/cargo-vendor/cranelift-wasm-0.99.1/src/environ/spec.rs +913 -0
  150. data/ext/cargo-vendor/cranelift-wasm-0.99.1/src/func_translator.rs +431 -0
  151. data/ext/cargo-vendor/cranelift-wasm-0.99.1/src/sections_translator.rs +420 -0
  152. data/ext/cargo-vendor/cranelift-wasm-0.99.1/tests/wasm_testsuite.rs +153 -0
  153. data/ext/cargo-vendor/fs-set-times-0.20.0/.cargo-checksum.json +1 -0
  154. data/ext/cargo-vendor/fs-set-times-0.20.0/Cargo.toml +45 -0
  155. data/ext/cargo-vendor/futures-0.3.28/.cargo-checksum.json +1 -0
  156. data/ext/cargo-vendor/futures-0.3.28/Cargo.toml +147 -0
  157. data/ext/cargo-vendor/futures-0.3.28/LICENSE-APACHE +202 -0
  158. data/ext/cargo-vendor/futures-0.3.28/LICENSE-MIT +26 -0
  159. data/ext/cargo-vendor/futures-0.3.28/README.md +61 -0
  160. data/ext/cargo-vendor/futures-0.3.28/src/lib.rs +260 -0
  161. data/ext/cargo-vendor/futures-0.3.28/tests/_require_features.rs +13 -0
  162. data/ext/cargo-vendor/futures-0.3.28/tests/async_await_macros.rs +393 -0
  163. data/ext/cargo-vendor/futures-0.3.28/tests/auto_traits.rs +1891 -0
  164. data/ext/cargo-vendor/futures-0.3.28/tests/bilock.rs +104 -0
  165. data/ext/cargo-vendor/futures-0.3.28/tests/compat.rs +16 -0
  166. data/ext/cargo-vendor/futures-0.3.28/tests/eager_drop.rs +121 -0
  167. data/ext/cargo-vendor/futures-0.3.28/tests/eventual.rs +179 -0
  168. data/ext/cargo-vendor/futures-0.3.28/tests/future_abortable.rs +44 -0
  169. data/ext/cargo-vendor/futures-0.3.28/tests/future_basic_combinators.rs +104 -0
  170. data/ext/cargo-vendor/futures-0.3.28/tests/future_fuse.rs +12 -0
  171. data/ext/cargo-vendor/futures-0.3.28/tests/future_inspect.rs +16 -0
  172. data/ext/cargo-vendor/futures-0.3.28/tests/future_join.rs +32 -0
  173. data/ext/cargo-vendor/futures-0.3.28/tests/future_join_all.rs +41 -0
  174. data/ext/cargo-vendor/futures-0.3.28/tests/future_obj.rs +33 -0
  175. data/ext/cargo-vendor/futures-0.3.28/tests/future_select_all.rs +25 -0
  176. data/ext/cargo-vendor/futures-0.3.28/tests/future_select_ok.rs +30 -0
  177. data/ext/cargo-vendor/futures-0.3.28/tests/future_shared.rs +273 -0
  178. data/ext/cargo-vendor/futures-0.3.28/tests/future_try_flatten_stream.rs +83 -0
  179. data/ext/cargo-vendor/futures-0.3.28/tests/future_try_join_all.rs +46 -0
  180. data/ext/cargo-vendor/futures-0.3.28/tests/io_buf_reader.rs +432 -0
  181. data/ext/cargo-vendor/futures-0.3.28/tests/io_buf_writer.rs +239 -0
  182. data/ext/cargo-vendor/futures-0.3.28/tests/io_cursor.rs +30 -0
  183. data/ext/cargo-vendor/futures-0.3.28/tests/io_line_writer.rs +73 -0
  184. data/ext/cargo-vendor/futures-0.3.28/tests/io_lines.rs +60 -0
  185. data/ext/cargo-vendor/futures-0.3.28/tests/io_read.rs +64 -0
  186. data/ext/cargo-vendor/futures-0.3.28/tests/io_read_exact.rs +17 -0
  187. data/ext/cargo-vendor/futures-0.3.28/tests/io_read_line.rs +58 -0
  188. data/ext/cargo-vendor/futures-0.3.28/tests/io_read_to_end.rs +65 -0
  189. data/ext/cargo-vendor/futures-0.3.28/tests/io_read_to_string.rs +44 -0
  190. data/ext/cargo-vendor/futures-0.3.28/tests/io_read_until.rs +60 -0
  191. data/ext/cargo-vendor/futures-0.3.28/tests/io_window.rs +30 -0
  192. data/ext/cargo-vendor/futures-0.3.28/tests/io_write.rs +65 -0
  193. data/ext/cargo-vendor/futures-0.3.28/tests/lock_mutex.rs +69 -0
  194. data/ext/cargo-vendor/futures-0.3.28/tests/macro_comma_support.rs +43 -0
  195. data/ext/cargo-vendor/futures-0.3.28/tests/object_safety.rs +49 -0
  196. data/ext/cargo-vendor/futures-0.3.28/tests/oneshot.rs +78 -0
  197. data/ext/cargo-vendor/futures-0.3.28/tests/ready_queue.rs +148 -0
  198. data/ext/cargo-vendor/futures-0.3.28/tests/recurse.rs +25 -0
  199. data/ext/cargo-vendor/futures-0.3.28/tests/sink.rs +554 -0
  200. data/ext/cargo-vendor/futures-0.3.28/tests/sink_fanout.rs +24 -0
  201. data/ext/cargo-vendor/futures-0.3.28/tests/stream.rs +537 -0
  202. data/ext/cargo-vendor/futures-0.3.28/tests/stream_abortable.rs +46 -0
  203. data/ext/cargo-vendor/futures-0.3.28/tests/stream_buffer_unordered.rs +73 -0
  204. data/ext/cargo-vendor/futures-0.3.28/tests/stream_catch_unwind.rs +27 -0
  205. data/ext/cargo-vendor/futures-0.3.28/tests/stream_futures_ordered.rs +172 -0
  206. data/ext/cargo-vendor/futures-0.3.28/tests/stream_futures_unordered.rs +383 -0
  207. data/ext/cargo-vendor/futures-0.3.28/tests/stream_into_async_read.rs +94 -0
  208. data/ext/cargo-vendor/futures-0.3.28/tests/stream_peekable.rs +58 -0
  209. data/ext/cargo-vendor/futures-0.3.28/tests/stream_select_all.rs +197 -0
  210. data/ext/cargo-vendor/futures-0.3.28/tests/stream_select_next_some.rs +86 -0
  211. data/ext/cargo-vendor/futures-0.3.28/tests/stream_split.rs +57 -0
  212. data/ext/cargo-vendor/futures-0.3.28/tests/stream_try_stream.rs +134 -0
  213. data/ext/cargo-vendor/futures-0.3.28/tests/stream_unfold.rs +32 -0
  214. data/ext/cargo-vendor/futures-0.3.28/tests/task_arc_wake.rs +79 -0
  215. data/ext/cargo-vendor/futures-0.3.28/tests/task_atomic_waker.rs +48 -0
  216. data/ext/cargo-vendor/futures-0.3.28/tests/test_macro.rs +20 -0
  217. data/ext/cargo-vendor/futures-0.3.28/tests/try_join.rs +35 -0
  218. data/ext/cargo-vendor/futures-0.3.28/tests_disabled/all.rs +400 -0
  219. data/ext/cargo-vendor/futures-0.3.28/tests_disabled/stream.rs +368 -0
  220. data/ext/cargo-vendor/futures-channel-0.3.28/.cargo-checksum.json +1 -0
  221. data/ext/cargo-vendor/futures-channel-0.3.28/Cargo.toml +52 -0
  222. data/ext/cargo-vendor/futures-channel-0.3.28/LICENSE-APACHE +202 -0
  223. data/ext/cargo-vendor/futures-channel-0.3.28/LICENSE-MIT +26 -0
  224. data/ext/cargo-vendor/futures-channel-0.3.28/README.md +23 -0
  225. data/ext/cargo-vendor/futures-channel-0.3.28/benches/sync_mpsc.rs +135 -0
  226. data/ext/cargo-vendor/futures-channel-0.3.28/build.rs +41 -0
  227. data/ext/cargo-vendor/futures-channel-0.3.28/no_atomic_cas.rs +17 -0
  228. data/ext/cargo-vendor/futures-channel-0.3.28/src/lib.rs +42 -0
  229. data/ext/cargo-vendor/futures-channel-0.3.28/src/lock.rs +102 -0
  230. data/ext/cargo-vendor/futures-channel-0.3.28/src/mpsc/mod.rs +1359 -0
  231. data/ext/cargo-vendor/futures-channel-0.3.28/src/mpsc/queue.rs +174 -0
  232. data/ext/cargo-vendor/futures-channel-0.3.28/src/mpsc/sink_impl.rs +73 -0
  233. data/ext/cargo-vendor/futures-channel-0.3.28/src/oneshot.rs +488 -0
  234. data/ext/cargo-vendor/futures-channel-0.3.28/tests/channel.rs +66 -0
  235. data/ext/cargo-vendor/futures-channel-0.3.28/tests/mpsc-close.rs +299 -0
  236. data/ext/cargo-vendor/futures-channel-0.3.28/tests/mpsc-size_hint.rs +40 -0
  237. data/ext/cargo-vendor/futures-channel-0.3.28/tests/mpsc.rs +634 -0
  238. data/ext/cargo-vendor/futures-channel-0.3.28/tests/oneshot.rs +256 -0
  239. data/ext/cargo-vendor/futures-core-0.3.28/.cargo-checksum.json +1 -0
  240. data/ext/cargo-vendor/futures-core-0.3.28/Cargo.toml +44 -0
  241. data/ext/cargo-vendor/futures-core-0.3.28/LICENSE-APACHE +202 -0
  242. data/ext/cargo-vendor/futures-core-0.3.28/LICENSE-MIT +26 -0
  243. data/ext/cargo-vendor/futures-core-0.3.28/README.md +23 -0
  244. data/ext/cargo-vendor/futures-core-0.3.28/build.rs +41 -0
  245. data/ext/cargo-vendor/futures-core-0.3.28/no_atomic_cas.rs +17 -0
  246. data/ext/cargo-vendor/futures-core-0.3.28/src/future.rs +103 -0
  247. data/ext/cargo-vendor/futures-core-0.3.28/src/lib.rs +27 -0
  248. data/ext/cargo-vendor/futures-core-0.3.28/src/stream.rs +235 -0
  249. data/ext/cargo-vendor/futures-core-0.3.28/src/task/__internal/atomic_waker.rs +421 -0
  250. data/ext/cargo-vendor/futures-core-0.3.28/src/task/__internal/mod.rs +4 -0
  251. data/ext/cargo-vendor/futures-core-0.3.28/src/task/mod.rs +10 -0
  252. data/ext/cargo-vendor/futures-core-0.3.28/src/task/poll.rs +12 -0
  253. data/ext/cargo-vendor/futures-io-0.3.28/.cargo-checksum.json +1 -0
  254. data/ext/cargo-vendor/futures-io-0.3.28/Cargo.toml +37 -0
  255. data/ext/cargo-vendor/futures-io-0.3.28/LICENSE-APACHE +202 -0
  256. data/ext/cargo-vendor/futures-io-0.3.28/LICENSE-MIT +26 -0
  257. data/ext/cargo-vendor/futures-io-0.3.28/README.md +23 -0
  258. data/ext/cargo-vendor/futures-io-0.3.28/src/lib.rs +558 -0
  259. data/ext/cargo-vendor/futures-sink-0.3.28/.cargo-checksum.json +1 -0
  260. data/ext/cargo-vendor/futures-sink-0.3.28/Cargo.toml +33 -0
  261. data/ext/cargo-vendor/futures-sink-0.3.28/LICENSE-APACHE +202 -0
  262. data/ext/cargo-vendor/futures-sink-0.3.28/LICENSE-MIT +26 -0
  263. data/ext/cargo-vendor/futures-sink-0.3.28/README.md +23 -0
  264. data/ext/cargo-vendor/futures-sink-0.3.28/src/lib.rs +240 -0
  265. data/ext/cargo-vendor/futures-task-0.3.28/.cargo-checksum.json +1 -0
  266. data/ext/cargo-vendor/futures-task-0.3.28/Cargo.toml +37 -0
  267. data/ext/cargo-vendor/futures-task-0.3.28/LICENSE-APACHE +202 -0
  268. data/ext/cargo-vendor/futures-task-0.3.28/LICENSE-MIT +26 -0
  269. data/ext/cargo-vendor/futures-task-0.3.28/README.md +23 -0
  270. data/ext/cargo-vendor/futures-task-0.3.28/build.rs +41 -0
  271. data/ext/cargo-vendor/futures-task-0.3.28/no_atomic_cas.rs +17 -0
  272. data/ext/cargo-vendor/futures-task-0.3.28/src/arc_wake.rs +49 -0
  273. data/ext/cargo-vendor/futures-task-0.3.28/src/future_obj.rs +335 -0
  274. data/ext/cargo-vendor/futures-task-0.3.28/src/lib.rs +50 -0
  275. data/ext/cargo-vendor/futures-task-0.3.28/src/noop_waker.rs +63 -0
  276. data/ext/cargo-vendor/futures-task-0.3.28/src/spawn.rs +192 -0
  277. data/ext/cargo-vendor/futures-task-0.3.28/src/waker.rs +59 -0
  278. data/ext/cargo-vendor/futures-task-0.3.28/src/waker_ref.rs +66 -0
  279. data/ext/cargo-vendor/futures-util-0.3.28/.cargo-checksum.json +1 -0
  280. data/ext/cargo-vendor/futures-util-0.3.28/Cargo.toml +135 -0
  281. data/ext/cargo-vendor/futures-util-0.3.28/LICENSE-APACHE +202 -0
  282. data/ext/cargo-vendor/futures-util-0.3.28/LICENSE-MIT +26 -0
  283. data/ext/cargo-vendor/futures-util-0.3.28/README.md +23 -0
  284. data/ext/cargo-vendor/futures-util-0.3.28/benches/bilock.rs +68 -0
  285. data/ext/cargo-vendor/futures-util-0.3.28/benches/flatten_unordered.rs +58 -0
  286. data/ext/cargo-vendor/futures-util-0.3.28/benches/futures_unordered.rs +43 -0
  287. data/ext/cargo-vendor/futures-util-0.3.28/benches/select.rs +35 -0
  288. data/ext/cargo-vendor/futures-util-0.3.28/build.rs +41 -0
  289. data/ext/cargo-vendor/futures-util-0.3.28/no_atomic_cas.rs +17 -0
  290. data/ext/cargo-vendor/futures-util-0.3.28/src/abortable.rs +209 -0
  291. data/ext/cargo-vendor/futures-util-0.3.28/src/async_await/join_mod.rs +110 -0
  292. data/ext/cargo-vendor/futures-util-0.3.28/src/async_await/mod.rs +58 -0
  293. data/ext/cargo-vendor/futures-util-0.3.28/src/async_await/pending.rs +43 -0
  294. data/ext/cargo-vendor/futures-util-0.3.28/src/async_await/poll.rs +39 -0
  295. data/ext/cargo-vendor/futures-util-0.3.28/src/async_await/random.rs +54 -0
  296. data/ext/cargo-vendor/futures-util-0.3.28/src/async_await/select_mod.rs +336 -0
  297. data/ext/cargo-vendor/futures-util-0.3.28/src/async_await/stream_select_mod.rs +40 -0
  298. data/ext/cargo-vendor/futures-util-0.3.28/src/compat/compat01as03.rs +454 -0
  299. data/ext/cargo-vendor/futures-util-0.3.28/src/compat/compat03as01.rs +265 -0
  300. data/ext/cargo-vendor/futures-util-0.3.28/src/compat/executor.rs +86 -0
  301. data/ext/cargo-vendor/futures-util-0.3.28/src/compat/mod.rs +22 -0
  302. data/ext/cargo-vendor/futures-util-0.3.28/src/fns.rs +372 -0
  303. data/ext/cargo-vendor/futures-util-0.3.28/src/future/abortable.rs +19 -0
  304. data/ext/cargo-vendor/futures-util-0.3.28/src/future/either.rs +317 -0
  305. data/ext/cargo-vendor/futures-util-0.3.28/src/future/future/catch_unwind.rs +38 -0
  306. data/ext/cargo-vendor/futures-util-0.3.28/src/future/future/flatten.rs +153 -0
  307. data/ext/cargo-vendor/futures-util-0.3.28/src/future/future/fuse.rs +91 -0
  308. data/ext/cargo-vendor/futures-util-0.3.28/src/future/future/map.rs +66 -0
  309. data/ext/cargo-vendor/futures-util-0.3.28/src/future/future/mod.rs +610 -0
  310. data/ext/cargo-vendor/futures-util-0.3.28/src/future/future/remote_handle.rs +126 -0
  311. data/ext/cargo-vendor/futures-util-0.3.28/src/future/future/shared.rs +413 -0
  312. data/ext/cargo-vendor/futures-util-0.3.28/src/future/join.rs +217 -0
  313. data/ext/cargo-vendor/futures-util-0.3.28/src/future/join_all.rs +166 -0
  314. data/ext/cargo-vendor/futures-util-0.3.28/src/future/lazy.rs +60 -0
  315. data/ext/cargo-vendor/futures-util-0.3.28/src/future/maybe_done.rs +104 -0
  316. data/ext/cargo-vendor/futures-util-0.3.28/src/future/mod.rs +131 -0
  317. data/ext/cargo-vendor/futures-util-0.3.28/src/future/option.rs +64 -0
  318. data/ext/cargo-vendor/futures-util-0.3.28/src/future/pending.rs +55 -0
  319. data/ext/cargo-vendor/futures-util-0.3.28/src/future/poll_fn.rs +58 -0
  320. data/ext/cargo-vendor/futures-util-0.3.28/src/future/poll_immediate.rs +126 -0
  321. data/ext/cargo-vendor/futures-util-0.3.28/src/future/ready.rs +82 -0
  322. data/ext/cargo-vendor/futures-util-0.3.28/src/future/select.rs +134 -0
  323. data/ext/cargo-vendor/futures-util-0.3.28/src/future/select_all.rs +75 -0
  324. data/ext/cargo-vendor/futures-util-0.3.28/src/future/select_ok.rs +85 -0
  325. data/ext/cargo-vendor/futures-util-0.3.28/src/future/try_future/into_future.rs +36 -0
  326. data/ext/cargo-vendor/futures-util-0.3.28/src/future/try_future/mod.rs +625 -0
  327. data/ext/cargo-vendor/futures-util-0.3.28/src/future/try_future/try_flatten.rs +162 -0
  328. data/ext/cargo-vendor/futures-util-0.3.28/src/future/try_future/try_flatten_err.rs +62 -0
  329. data/ext/cargo-vendor/futures-util-0.3.28/src/future/try_join.rs +256 -0
  330. data/ext/cargo-vendor/futures-util-0.3.28/src/future/try_join_all.rs +200 -0
  331. data/ext/cargo-vendor/futures-util-0.3.28/src/future/try_maybe_done.rs +92 -0
  332. data/ext/cargo-vendor/futures-util-0.3.28/src/future/try_select.rs +85 -0
  333. data/ext/cargo-vendor/futures-util-0.3.28/src/io/allow_std.rs +200 -0
  334. data/ext/cargo-vendor/futures-util-0.3.28/src/io/buf_reader.rs +263 -0
  335. data/ext/cargo-vendor/futures-util-0.3.28/src/io/buf_writer.rs +224 -0
  336. data/ext/cargo-vendor/futures-util-0.3.28/src/io/chain.rs +142 -0
  337. data/ext/cargo-vendor/futures-util-0.3.28/src/io/close.rs +28 -0
  338. data/ext/cargo-vendor/futures-util-0.3.28/src/io/copy.rs +58 -0
  339. data/ext/cargo-vendor/futures-util-0.3.28/src/io/copy_buf.rs +78 -0
  340. data/ext/cargo-vendor/futures-util-0.3.28/src/io/copy_buf_abortable.rs +124 -0
  341. data/ext/cargo-vendor/futures-util-0.3.28/src/io/cursor.rs +232 -0
  342. data/ext/cargo-vendor/futures-util-0.3.28/src/io/empty.rs +59 -0
  343. data/ext/cargo-vendor/futures-util-0.3.28/src/io/fill_buf.rs +51 -0
  344. data/ext/cargo-vendor/futures-util-0.3.28/src/io/flush.rs +31 -0
  345. data/ext/cargo-vendor/futures-util-0.3.28/src/io/into_sink.rs +82 -0
  346. data/ext/cargo-vendor/futures-util-0.3.28/src/io/line_writer.rs +155 -0
  347. data/ext/cargo-vendor/futures-util-0.3.28/src/io/lines.rs +47 -0
  348. data/ext/cargo-vendor/futures-util-0.3.28/src/io/mod.rs +841 -0
  349. data/ext/cargo-vendor/futures-util-0.3.28/src/io/read.rs +30 -0
  350. data/ext/cargo-vendor/futures-util-0.3.28/src/io/read_exact.rs +42 -0
  351. data/ext/cargo-vendor/futures-util-0.3.28/src/io/read_line.rs +57 -0
  352. data/ext/cargo-vendor/futures-util-0.3.28/src/io/read_to_end.rs +91 -0
  353. data/ext/cargo-vendor/futures-util-0.3.28/src/io/read_to_string.rs +59 -0
  354. data/ext/cargo-vendor/futures-util-0.3.28/src/io/read_until.rs +60 -0
  355. data/ext/cargo-vendor/futures-util-0.3.28/src/io/read_vectored.rs +30 -0
  356. data/ext/cargo-vendor/futures-util-0.3.28/src/io/repeat.rs +66 -0
  357. data/ext/cargo-vendor/futures-util-0.3.28/src/io/seek.rs +30 -0
  358. data/ext/cargo-vendor/futures-util-0.3.28/src/io/sink.rs +67 -0
  359. data/ext/cargo-vendor/futures-util-0.3.28/src/io/split.rs +115 -0
  360. data/ext/cargo-vendor/futures-util-0.3.28/src/io/take.rs +125 -0
  361. data/ext/cargo-vendor/futures-util-0.3.28/src/io/window.rs +104 -0
  362. data/ext/cargo-vendor/futures-util-0.3.28/src/io/write.rs +30 -0
  363. data/ext/cargo-vendor/futures-util-0.3.28/src/io/write_all.rs +43 -0
  364. data/ext/cargo-vendor/futures-util-0.3.28/src/io/write_all_vectored.rs +193 -0
  365. data/ext/cargo-vendor/futures-util-0.3.28/src/io/write_vectored.rs +30 -0
  366. data/ext/cargo-vendor/futures-util-0.3.28/src/lib.rs +337 -0
  367. data/ext/cargo-vendor/futures-util-0.3.28/src/lock/bilock.rs +293 -0
  368. data/ext/cargo-vendor/futures-util-0.3.28/src/lock/mod.rs +27 -0
  369. data/ext/cargo-vendor/futures-util-0.3.28/src/lock/mutex.rs +551 -0
  370. data/ext/cargo-vendor/futures-util-0.3.28/src/never.rs +18 -0
  371. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/buffer.rs +105 -0
  372. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/close.rs +32 -0
  373. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/drain.rs +59 -0
  374. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/err_into.rs +57 -0
  375. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/fanout.rs +111 -0
  376. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/feed.rs +43 -0
  377. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/flush.rs +36 -0
  378. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/map_err.rs +65 -0
  379. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/mod.rs +344 -0
  380. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/send.rs +41 -0
  381. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/send_all.rs +100 -0
  382. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/unfold.rs +89 -0
  383. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/with.rs +134 -0
  384. data/ext/cargo-vendor/futures-util-0.3.28/src/sink/with_flat_map.rs +127 -0
  385. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/abortable.rs +19 -0
  386. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/empty.rs +45 -0
  387. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/futures_ordered.rs +244 -0
  388. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/futures_unordered/abort.rs +12 -0
  389. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/futures_unordered/iter.rs +172 -0
  390. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/futures_unordered/mod.rs +661 -0
  391. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/futures_unordered/ready_to_run_queue.rs +122 -0
  392. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/futures_unordered/task.rs +125 -0
  393. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/iter.rs +49 -0
  394. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/mod.rs +148 -0
  395. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/once.rs +67 -0
  396. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/pending.rs +45 -0
  397. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/poll_fn.rs +57 -0
  398. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/poll_immediate.rs +80 -0
  399. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/repeat.rs +58 -0
  400. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/repeat_with.rs +93 -0
  401. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/select.rs +117 -0
  402. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/select_all.rs +249 -0
  403. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/select_with_strategy.rs +304 -0
  404. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/all.rs +92 -0
  405. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/any.rs +92 -0
  406. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/buffer_unordered.rs +120 -0
  407. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/buffered.rs +118 -0
  408. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/catch_unwind.rs +61 -0
  409. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/chain.rs +76 -0
  410. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/chunks.rs +103 -0
  411. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/collect.rs +56 -0
  412. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/concat.rs +62 -0
  413. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/count.rs +53 -0
  414. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/cycle.rs +68 -0
  415. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/enumerate.rs +64 -0
  416. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/filter.rs +117 -0
  417. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/filter_map.rs +111 -0
  418. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/flatten.rs +73 -0
  419. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/flatten_unordered.rs +536 -0
  420. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/fold.rs +88 -0
  421. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/for_each.rs +78 -0
  422. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/for_each_concurrent.rs +119 -0
  423. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/forward.rs +75 -0
  424. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/fuse.rs +75 -0
  425. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/into_future.rs +90 -0
  426. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/map.rs +77 -0
  427. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/mod.rs +1691 -0
  428. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/next.rs +34 -0
  429. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/peek.rs +433 -0
  430. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/ready_chunks.rs +93 -0
  431. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/scan.rs +128 -0
  432. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/select_next_some.rs +42 -0
  433. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/skip.rs +70 -0
  434. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/skip_while.rs +124 -0
  435. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/split.rs +144 -0
  436. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/take.rs +86 -0
  437. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/take_until.rs +170 -0
  438. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/take_while.rs +124 -0
  439. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/then.rs +101 -0
  440. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/unzip.rs +63 -0
  441. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/stream/zip.rs +128 -0
  442. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/and_then.rs +105 -0
  443. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/into_async_read.rs +166 -0
  444. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/into_stream.rs +52 -0
  445. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/mod.rs +1130 -0
  446. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/or_else.rs +109 -0
  447. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_buffer_unordered.rs +86 -0
  448. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_buffered.rs +87 -0
  449. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_chunks.rs +132 -0
  450. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_collect.rs +52 -0
  451. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_concat.rs +51 -0
  452. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_filter.rs +112 -0
  453. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_filter_map.rs +106 -0
  454. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_flatten.rs +84 -0
  455. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_flatten_unordered.rs +176 -0
  456. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_fold.rs +93 -0
  457. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_for_each.rs +68 -0
  458. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_for_each_concurrent.rs +133 -0
  459. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_next.rs +34 -0
  460. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_skip_while.rs +120 -0
  461. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_take_while.rs +129 -0
  462. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/try_stream/try_unfold.rs +122 -0
  463. data/ext/cargo-vendor/futures-util-0.3.28/src/stream/unfold.rs +119 -0
  464. data/ext/cargo-vendor/futures-util-0.3.28/src/task/mod.rs +37 -0
  465. data/ext/cargo-vendor/futures-util-0.3.28/src/task/spawn.rs +169 -0
  466. data/ext/cargo-vendor/futures-util-0.3.28/src/unfold_state.rs +39 -0
  467. data/ext/cargo-vendor/io-extras-0.18.0/.cargo-checksum.json +1 -0
  468. data/ext/cargo-vendor/io-extras-0.18.0/Cargo.toml +94 -0
  469. data/ext/cargo-vendor/io-extras-0.18.0/README.md +33 -0
  470. data/ext/cargo-vendor/io-extras-0.18.0/build.rs +43 -0
  471. data/ext/cargo-vendor/io-extras-0.18.0/src/os/windows/stdio.rs +328 -0
  472. data/ext/cargo-vendor/object-0.31.1/.cargo-checksum.json +1 -0
  473. data/ext/cargo-vendor/object-0.31.1/CHANGELOG.md +621 -0
  474. data/ext/cargo-vendor/object-0.31.1/Cargo.toml +161 -0
  475. data/ext/cargo-vendor/object-0.31.1/README.md +58 -0
  476. data/ext/cargo-vendor/object-0.31.1/clippy.toml +1 -0
  477. data/ext/cargo-vendor/object-0.31.1/src/common.rs +499 -0
  478. data/ext/cargo-vendor/object-0.31.1/src/elf.rs +6146 -0
  479. data/ext/cargo-vendor/object-0.31.1/src/endian.rs +831 -0
  480. data/ext/cargo-vendor/object-0.31.1/src/lib.rs +116 -0
  481. data/ext/cargo-vendor/object-0.31.1/src/macho.rs +3307 -0
  482. data/ext/cargo-vendor/object-0.31.1/src/pe.rs +3050 -0
  483. data/ext/cargo-vendor/object-0.31.1/src/read/any.rs +1323 -0
  484. data/ext/cargo-vendor/object-0.31.1/src/read/coff/comdat.rs +207 -0
  485. data/ext/cargo-vendor/object-0.31.1/src/read/coff/file.rs +364 -0
  486. data/ext/cargo-vendor/object-0.31.1/src/read/coff/relocation.rs +104 -0
  487. data/ext/cargo-vendor/object-0.31.1/src/read/coff/section.rs +574 -0
  488. data/ext/cargo-vendor/object-0.31.1/src/read/coff/symbol.rs +626 -0
  489. data/ext/cargo-vendor/object-0.31.1/src/read/elf/attributes.rs +303 -0
  490. data/ext/cargo-vendor/object-0.31.1/src/read/elf/comdat.rs +160 -0
  491. data/ext/cargo-vendor/object-0.31.1/src/read/elf/file.rs +910 -0
  492. data/ext/cargo-vendor/object-0.31.1/src/read/elf/hash.rs +220 -0
  493. data/ext/cargo-vendor/object-0.31.1/src/read/elf/mod.rs +42 -0
  494. data/ext/cargo-vendor/object-0.31.1/src/read/elf/note.rs +263 -0
  495. data/ext/cargo-vendor/object-0.31.1/src/read/elf/section.rs +1146 -0
  496. data/ext/cargo-vendor/object-0.31.1/src/read/elf/segment.rs +332 -0
  497. data/ext/cargo-vendor/object-0.31.1/src/read/elf/symbol.rs +577 -0
  498. data/ext/cargo-vendor/object-0.31.1/src/read/elf/version.rs +421 -0
  499. data/ext/cargo-vendor/object-0.31.1/src/read/macho/dyld_cache.rs +343 -0
  500. data/ext/cargo-vendor/object-0.31.1/src/read/macho/file.rs +731 -0
  501. data/ext/cargo-vendor/object-0.31.1/src/read/macho/load_command.rs +373 -0
  502. data/ext/cargo-vendor/object-0.31.1/src/read/macho/relocation.rs +127 -0
  503. data/ext/cargo-vendor/object-0.31.1/src/read/macho/section.rs +387 -0
  504. data/ext/cargo-vendor/object-0.31.1/src/read/macho/segment.rs +301 -0
  505. data/ext/cargo-vendor/object-0.31.1/src/read/macho/symbol.rs +488 -0
  506. data/ext/cargo-vendor/object-0.31.1/src/read/mod.rs +760 -0
  507. data/ext/cargo-vendor/object-0.31.1/src/read/pe/data_directory.rs +211 -0
  508. data/ext/cargo-vendor/object-0.31.1/src/read/pe/file.rs +1029 -0
  509. data/ext/cargo-vendor/object-0.31.1/src/read/pe/resource.rs +207 -0
  510. data/ext/cargo-vendor/object-0.31.1/src/read/pe/rich.rs +91 -0
  511. data/ext/cargo-vendor/object-0.31.1/src/read/pe/section.rs +434 -0
  512. data/ext/cargo-vendor/object-0.31.1/src/read/read_cache.rs +182 -0
  513. data/ext/cargo-vendor/object-0.31.1/src/read/read_ref.rs +137 -0
  514. data/ext/cargo-vendor/object-0.31.1/src/read/traits.rs +469 -0
  515. data/ext/cargo-vendor/object-0.31.1/src/read/util.rs +425 -0
  516. data/ext/cargo-vendor/object-0.31.1/src/read/wasm.rs +951 -0
  517. data/ext/cargo-vendor/object-0.31.1/src/read/xcoff/comdat.rs +129 -0
  518. data/ext/cargo-vendor/object-0.31.1/src/read/xcoff/relocation.rs +127 -0
  519. data/ext/cargo-vendor/object-0.31.1/src/read/xcoff/section.rs +427 -0
  520. data/ext/cargo-vendor/object-0.31.1/src/read/xcoff/segment.rs +113 -0
  521. data/ext/cargo-vendor/object-0.31.1/src/read/xcoff/symbol.rs +695 -0
  522. data/ext/cargo-vendor/object-0.31.1/src/write/coff.rs +725 -0
  523. data/ext/cargo-vendor/object-0.31.1/src/write/elf/object.rs +891 -0
  524. data/ext/cargo-vendor/object-0.31.1/src/write/elf/writer.rs +2143 -0
  525. data/ext/cargo-vendor/object-0.31.1/src/write/macho.rs +978 -0
  526. data/ext/cargo-vendor/object-0.31.1/src/write/mod.rs +943 -0
  527. data/ext/cargo-vendor/object-0.31.1/src/write/string.rs +159 -0
  528. data/ext/cargo-vendor/object-0.31.1/src/write/util.rs +260 -0
  529. data/ext/cargo-vendor/object-0.31.1/src/write/xcoff.rs +556 -0
  530. data/ext/cargo-vendor/object-0.31.1/src/xcoff.rs +893 -0
  531. data/ext/cargo-vendor/object-0.31.1/tests/read/coff.rs +23 -0
  532. data/ext/cargo-vendor/object-0.31.1/tests/round_trip/elf.rs +289 -0
  533. data/ext/cargo-vendor/object-0.31.1/tests/round_trip/macho.rs +24 -0
  534. data/ext/cargo-vendor/object-0.31.1/tests/round_trip/mod.rs +636 -0
  535. data/ext/cargo-vendor/pulldown-cmark-0.9.3/.cargo-checksum.json +1 -0
  536. data/ext/cargo-vendor/pulldown-cmark-0.9.3/CONTRIBUTING.md +21 -0
  537. data/ext/cargo-vendor/pulldown-cmark-0.9.3/Cargo.lock +1000 -0
  538. data/ext/cargo-vendor/pulldown-cmark-0.9.3/Cargo.toml +100 -0
  539. data/ext/cargo-vendor/pulldown-cmark-0.9.3/README.md +167 -0
  540. data/ext/cargo-vendor/pulldown-cmark-0.9.3/benches/html_rendering.rs +97 -0
  541. data/ext/cargo-vendor/pulldown-cmark-0.9.3/benches/lib.rs +51 -0
  542. data/ext/cargo-vendor/pulldown-cmark-0.9.3/benches/markdown-it.rs +29 -0
  543. data/ext/cargo-vendor/pulldown-cmark-0.9.3/build.rs +189 -0
  544. data/ext/cargo-vendor/pulldown-cmark-0.9.3/examples/broken-link-callbacks.rs +35 -0
  545. data/ext/cargo-vendor/pulldown-cmark-0.9.3/examples/event-filter.rs +27 -0
  546. data/ext/cargo-vendor/pulldown-cmark-0.9.3/examples/parser-map-event-print.rs +31 -0
  547. data/ext/cargo-vendor/pulldown-cmark-0.9.3/examples/parser-map-tag-print.rs +73 -0
  548. data/ext/cargo-vendor/pulldown-cmark-0.9.3/examples/string-to-string.rs +24 -0
  549. data/ext/cargo-vendor/pulldown-cmark-0.9.3/src/escape.rs +369 -0
  550. data/ext/cargo-vendor/pulldown-cmark-0.9.3/src/firstpass.rs +1927 -0
  551. data/ext/cargo-vendor/pulldown-cmark-0.9.3/src/html.rs +478 -0
  552. data/ext/cargo-vendor/pulldown-cmark-0.9.3/src/lib.rs +290 -0
  553. data/ext/cargo-vendor/pulldown-cmark-0.9.3/src/linklabel.rs +135 -0
  554. data/ext/cargo-vendor/pulldown-cmark-0.9.3/src/main.rs +123 -0
  555. data/ext/cargo-vendor/pulldown-cmark-0.9.3/src/parse.rs +1927 -0
  556. data/ext/cargo-vendor/pulldown-cmark-0.9.3/src/puncttable.rs +351 -0
  557. data/ext/cargo-vendor/pulldown-cmark-0.9.3/src/scanners.rs +1327 -0
  558. data/ext/cargo-vendor/pulldown-cmark-0.9.3/src/strings.rs +373 -0
  559. data/ext/cargo-vendor/pulldown-cmark-0.9.3/src/tree.rs +276 -0
  560. data/ext/cargo-vendor/pulldown-cmark-0.9.3/tests/errors.rs +131 -0
  561. data/ext/cargo-vendor/pulldown-cmark-0.9.3/tests/html.rs +250 -0
  562. data/ext/cargo-vendor/pulldown-cmark-0.9.3/tests/lib.rs +421 -0
  563. data/ext/cargo-vendor/pulldown-cmark-0.9.3/tests/serde.rs +78 -0
  564. data/ext/cargo-vendor/pulldown-cmark-0.9.3/tests/suite/gfm_strikethrough.rs +37 -0
  565. data/ext/cargo-vendor/pulldown-cmark-0.9.3/tests/suite/gfm_table.rs +205 -0
  566. data/ext/cargo-vendor/pulldown-cmark-0.9.3/tests/suite/heading_attrs.rs +571 -0
  567. data/ext/cargo-vendor/pulldown-cmark-0.9.3/tests/suite/mod.rs +14 -0
  568. data/ext/cargo-vendor/pulldown-cmark-0.9.3/tests/suite/regression.rs +1033 -0
  569. data/ext/cargo-vendor/pulldown-cmark-0.9.3/tests/suite/spec.rs +8490 -0
  570. data/ext/cargo-vendor/system-interface-0.26.0/.cargo-checksum.json +1 -0
  571. data/ext/cargo-vendor/system-interface-0.26.0/Cargo.toml +105 -0
  572. data/ext/cargo-vendor/system-interface-0.26.0/build.rs +53 -0
  573. data/ext/cargo-vendor/system-interface-0.26.0/src/fs/file_io_ext.rs +1185 -0
  574. data/ext/cargo-vendor/wasi-cap-std-sync-12.0.0/.cargo-checksum.json +1 -0
  575. data/ext/cargo-vendor/wasi-cap-std-sync-12.0.0/Cargo.toml +96 -0
  576. data/ext/cargo-vendor/wasi-cap-std-sync-12.0.0/src/sched/unix.rs +82 -0
  577. data/ext/cargo-vendor/wasi-common-12.0.0/.cargo-checksum.json +1 -0
  578. data/ext/cargo-vendor/wasi-common-12.0.0/Cargo.toml +87 -0
  579. data/ext/cargo-vendor/wasi-common-12.0.0/src/file.rs +269 -0
  580. data/ext/cargo-vendor/wasi-common-12.0.0/src/sched/subscription.rs +77 -0
  581. data/ext/cargo-vendor/wasi-common-12.0.0/src/snapshots/preview_1/error.rs +265 -0
  582. data/ext/cargo-vendor/wasmparser-0.110.0/.cargo-checksum.json +1 -0
  583. data/ext/cargo-vendor/wasmparser-0.110.0/Cargo.lock +644 -0
  584. data/ext/cargo-vendor/wasmparser-0.110.0/Cargo.toml +54 -0
  585. data/ext/cargo-vendor/wasmparser-0.110.0/benches/benchmark.rs +349 -0
  586. data/ext/cargo-vendor/wasmparser-0.110.0/src/lib.rs +726 -0
  587. data/ext/cargo-vendor/wasmparser-0.110.0/src/limits.rs +59 -0
  588. data/ext/cargo-vendor/wasmparser-0.110.0/src/parser.rs +1612 -0
  589. data/ext/cargo-vendor/wasmparser-0.110.0/src/readers/component/canonicals.rs +120 -0
  590. data/ext/cargo-vendor/wasmparser-0.110.0/src/readers/component/types.rs +548 -0
  591. data/ext/cargo-vendor/wasmparser-0.110.0/src/readers/core/elements.rs +152 -0
  592. data/ext/cargo-vendor/wasmparser-0.110.0/src/readers/core/types.rs +1141 -0
  593. data/ext/cargo-vendor/wasmparser-0.110.0/src/validator/component.rs +3144 -0
  594. data/ext/cargo-vendor/wasmparser-0.110.0/src/validator/core.rs +1314 -0
  595. data/ext/cargo-vendor/wasmparser-0.110.0/src/validator/operators.rs +3466 -0
  596. data/ext/cargo-vendor/wasmparser-0.110.0/src/validator/types.rs +3197 -0
  597. data/ext/cargo-vendor/wasmparser-0.110.0/src/validator.rs +1568 -0
  598. data/ext/cargo-vendor/wasmparser-0.110.0/tests/big-module.rs +33 -0
  599. data/ext/cargo-vendor/wasmtime-12.0.0/.cargo-checksum.json +1 -0
  600. data/ext/cargo-vendor/wasmtime-12.0.0/Cargo.toml +186 -0
  601. data/ext/cargo-vendor/wasmtime-12.0.0/README.md +119 -0
  602. data/ext/cargo-vendor/wasmtime-12.0.0/src/compiler.rs +666 -0
  603. data/ext/cargo-vendor/wasmtime-12.0.0/src/component/component.rs +466 -0
  604. data/ext/cargo-vendor/wasmtime-12.0.0/src/component/func/host.rs +456 -0
  605. data/ext/cargo-vendor/wasmtime-12.0.0/src/component/func/options.rs +510 -0
  606. data/ext/cargo-vendor/wasmtime-12.0.0/src/component/func/typed.rs +2326 -0
  607. data/ext/cargo-vendor/wasmtime-12.0.0/src/component/func.rs +718 -0
  608. data/ext/cargo-vendor/wasmtime-12.0.0/src/component/instance.rs +781 -0
  609. data/ext/cargo-vendor/wasmtime-12.0.0/src/component/linker.rs +479 -0
  610. data/ext/cargo-vendor/wasmtime-12.0.0/src/component/matching.rs +215 -0
  611. data/ext/cargo-vendor/wasmtime-12.0.0/src/component/mod.rs +316 -0
  612. data/ext/cargo-vendor/wasmtime-12.0.0/src/component/resources.rs +716 -0
  613. data/ext/cargo-vendor/wasmtime-12.0.0/src/component/types.rs +562 -0
  614. data/ext/cargo-vendor/wasmtime-12.0.0/src/component/values.rs +1376 -0
  615. data/ext/cargo-vendor/wasmtime-12.0.0/src/config.rs +2096 -0
  616. data/ext/cargo-vendor/wasmtime-12.0.0/src/coredump.rs +115 -0
  617. data/ext/cargo-vendor/wasmtime-12.0.0/src/engine/serialization.rs +629 -0
  618. data/ext/cargo-vendor/wasmtime-12.0.0/src/externals.rs +768 -0
  619. data/ext/cargo-vendor/wasmtime-12.0.0/src/func.rs +2356 -0
  620. data/ext/cargo-vendor/wasmtime-12.0.0/src/instance.rs +883 -0
  621. data/ext/cargo-vendor/wasmtime-12.0.0/src/lib.rs +490 -0
  622. data/ext/cargo-vendor/wasmtime-12.0.0/src/memory.rs +954 -0
  623. data/ext/cargo-vendor/wasmtime-12.0.0/src/module/registry.rs +329 -0
  624. data/ext/cargo-vendor/wasmtime-12.0.0/src/module.rs +1346 -0
  625. data/ext/cargo-vendor/wasmtime-12.0.0/src/resources.rs +33 -0
  626. data/ext/cargo-vendor/wasmtime-12.0.0/src/store/data.rs +283 -0
  627. data/ext/cargo-vendor/wasmtime-12.0.0/src/store/func_refs.rs +85 -0
  628. data/ext/cargo-vendor/wasmtime-12.0.0/src/store.rs +2212 -0
  629. data/ext/cargo-vendor/wasmtime-12.0.0/src/trap.rs +639 -0
  630. data/ext/cargo-vendor/wasmtime-asm-macros-12.0.0/.cargo-checksum.json +1 -0
  631. data/ext/cargo-vendor/wasmtime-asm-macros-12.0.0/Cargo.toml +22 -0
  632. data/ext/cargo-vendor/wasmtime-cache-12.0.0/.cargo-checksum.json +1 -0
  633. data/ext/cargo-vendor/wasmtime-cache-12.0.0/Cargo.toml +73 -0
  634. data/ext/cargo-vendor/wasmtime-component-macro-12.0.0/.cargo-checksum.json +1 -0
  635. data/ext/cargo-vendor/wasmtime-component-macro-12.0.0/Cargo.toml +58 -0
  636. data/ext/cargo-vendor/wasmtime-component-macro-12.0.0/src/bindgen.rs +343 -0
  637. data/ext/cargo-vendor/wasmtime-component-macro-12.0.0/src/component.rs +1319 -0
  638. data/ext/cargo-vendor/wasmtime-component-util-12.0.0/.cargo-checksum.json +1 -0
  639. data/ext/cargo-vendor/wasmtime-component-util-12.0.0/Cargo.toml +25 -0
  640. data/ext/cargo-vendor/wasmtime-cranelift-12.0.0/.cargo-checksum.json +1 -0
  641. data/ext/cargo-vendor/wasmtime-cranelift-12.0.0/Cargo.toml +93 -0
  642. data/ext/cargo-vendor/wasmtime-cranelift-12.0.0/src/builder.rs +116 -0
  643. data/ext/cargo-vendor/wasmtime-cranelift-12.0.0/src/compiler/component.rs +959 -0
  644. data/ext/cargo-vendor/wasmtime-cranelift-12.0.0/src/compiler.rs +1297 -0
  645. data/ext/cargo-vendor/wasmtime-cranelift-12.0.0/src/debug/transform/expression.rs +1250 -0
  646. data/ext/cargo-vendor/wasmtime-cranelift-12.0.0/src/debug/transform/unit.rs +522 -0
  647. data/ext/cargo-vendor/wasmtime-cranelift-12.0.0/src/debug/transform/utils.rs +187 -0
  648. data/ext/cargo-vendor/wasmtime-cranelift-12.0.0/src/func_environ.rs +2377 -0
  649. data/ext/cargo-vendor/wasmtime-cranelift-12.0.0/src/lib.rs +186 -0
  650. data/ext/cargo-vendor/wasmtime-cranelift-shared-12.0.0/.cargo-checksum.json +1 -0
  651. data/ext/cargo-vendor/wasmtime-cranelift-shared-12.0.0/Cargo.toml +57 -0
  652. data/ext/cargo-vendor/wasmtime-cranelift-shared-12.0.0/src/lib.rs +129 -0
  653. data/ext/cargo-vendor/wasmtime-environ-12.0.0/.cargo-checksum.json +1 -0
  654. data/ext/cargo-vendor/wasmtime-environ-12.0.0/Cargo.lock +736 -0
  655. data/ext/cargo-vendor/wasmtime-environ-12.0.0/Cargo.toml +116 -0
  656. data/ext/cargo-vendor/wasmtime-environ-12.0.0/examples/factc.rs +207 -0
  657. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/compilation.rs +400 -0
  658. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/component/compiler.rs +47 -0
  659. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/component/dfg.rs +678 -0
  660. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/component/info.rs +583 -0
  661. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/component/translate/adapt.rs +457 -0
  662. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/component/translate/inline.rs +1327 -0
  663. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/component/translate.rs +943 -0
  664. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/component/types/resources.rs +240 -0
  665. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/component/types.rs +1920 -0
  666. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/component/vmcomponent_offsets.rs +316 -0
  667. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/component.rs +95 -0
  668. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/fact/signature.rs +135 -0
  669. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/fact/trampoline.rs +3269 -0
  670. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/fact/transcode.rs +168 -0
  671. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/fact.rs +713 -0
  672. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/module_environ.rs +887 -0
  673. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/trap_encoding.rs +246 -0
  674. data/ext/cargo-vendor/wasmtime-environ-12.0.0/src/tunables.rs +115 -0
  675. data/ext/cargo-vendor/wasmtime-fiber-12.0.0/.cargo-checksum.json +1 -0
  676. data/ext/cargo-vendor/wasmtime-fiber-12.0.0/Cargo.toml +51 -0
  677. data/ext/cargo-vendor/wasmtime-fiber-12.0.0/build.rs +26 -0
  678. data/ext/cargo-vendor/wasmtime-fiber-12.0.0/src/unix/aarch64.rs +184 -0
  679. data/ext/cargo-vendor/wasmtime-fiber-12.0.0/src/unix/arm.rs +85 -0
  680. data/ext/cargo-vendor/wasmtime-fiber-12.0.0/src/unix/riscv64.rs +158 -0
  681. data/ext/cargo-vendor/wasmtime-fiber-12.0.0/src/unix/s390x.S +123 -0
  682. data/ext/cargo-vendor/wasmtime-fiber-12.0.0/src/unix/x86_64.rs +160 -0
  683. data/ext/cargo-vendor/wasmtime-fiber-12.0.0/src/unix.rs +215 -0
  684. data/ext/cargo-vendor/wasmtime-fiber-12.0.0/src/windows.c +9 -0
  685. data/ext/cargo-vendor/wasmtime-fiber-12.0.0/src/windows.rs +162 -0
  686. data/ext/cargo-vendor/wasmtime-jit-12.0.0/.cargo-checksum.json +1 -0
  687. data/ext/cargo-vendor/wasmtime-jit-12.0.0/Cargo.toml +104 -0
  688. data/ext/cargo-vendor/wasmtime-jit-12.0.0/src/profiling.rs +108 -0
  689. data/ext/cargo-vendor/wasmtime-jit-debug-12.0.0/.cargo-checksum.json +1 -0
  690. data/ext/cargo-vendor/wasmtime-jit-debug-12.0.0/Cargo.toml +58 -0
  691. data/ext/cargo-vendor/wasmtime-jit-debug-12.0.0/src/gdb_jit_int.rs +130 -0
  692. data/ext/cargo-vendor/wasmtime-jit-icache-coherence-12.0.0/.cargo-checksum.json +1 -0
  693. data/ext/cargo-vendor/wasmtime-jit-icache-coherence-12.0.0/Cargo.toml +37 -0
  694. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/.cargo-checksum.json +1 -0
  695. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/Cargo.toml +119 -0
  696. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/build.rs +19 -0
  697. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/component/libcalls.rs +574 -0
  698. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/component/resources.rs +327 -0
  699. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/component.rs +853 -0
  700. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/debug_builtins.rs +59 -0
  701. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/helpers.c +108 -0
  702. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/instance/allocator/on_demand.rs +127 -0
  703. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/instance/allocator.rs +414 -0
  704. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/lib.rs +289 -0
  705. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/libcalls.rs +627 -0
  706. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/mmap/unix.rs +148 -0
  707. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/send_sync_ptr.rs +77 -0
  708. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/trampolines/aarch64.rs +42 -0
  709. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/trampolines/riscv64.rs +43 -0
  710. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/trampolines/s390x.S +62 -0
  711. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/trampolines/x86_64.rs +64 -0
  712. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/traphandlers/coredump.rs +38 -0
  713. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/traphandlers/unix.rs +402 -0
  714. data/ext/cargo-vendor/wasmtime-runtime-12.0.0/src/traphandlers.rs +782 -0
  715. data/ext/cargo-vendor/wasmtime-types-12.0.1/.cargo-checksum.json +1 -0
  716. data/ext/cargo-vendor/wasmtime-types-12.0.1/Cargo.toml +34 -0
  717. data/ext/cargo-vendor/wasmtime-types-12.0.1/src/lib.rs +504 -0
  718. data/ext/cargo-vendor/wasmtime-versioned-export-macros-12.0.0/.cargo-checksum.json +1 -0
  719. data/ext/cargo-vendor/wasmtime-versioned-export-macros-12.0.0/Cargo.toml +32 -0
  720. data/ext/cargo-vendor/wasmtime-versioned-export-macros-12.0.0/src/lib.rs +66 -0
  721. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/.cargo-checksum.json +1 -0
  722. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/Cargo.toml +191 -0
  723. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/command.rs +91 -0
  724. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/ctx.rs +237 -0
  725. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/filesystem.rs +216 -0
  726. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/mod.rs +185 -0
  727. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/pipe.rs +846 -0
  728. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/poll.rs +148 -0
  729. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/preview1/mod.rs +1870 -0
  730. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/preview2/clocks.rs +107 -0
  731. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/preview2/env.rs +37 -0
  732. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/preview2/exit.rs +11 -0
  733. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/preview2/filesystem/sync.rs +613 -0
  734. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/preview2/filesystem.rs +1096 -0
  735. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/preview2/io.rs +494 -0
  736. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/preview2/mod.rs +6 -0
  737. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/preview2/random.rs +36 -0
  738. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/stdio/unix.rs +133 -0
  739. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/stdio/worker_thread_stdin.rs +133 -0
  740. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/stdio.rs +218 -0
  741. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/stream.rs +302 -0
  742. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/src/preview2/table.rs +287 -0
  743. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/wit/deps/io/streams.wit +254 -0
  744. data/ext/cargo-vendor/wasmtime-wasi-12.0.0/wit/test.wit +28 -0
  745. data/ext/cargo-vendor/wasmtime-winch-12.0.0/.cargo-checksum.json +1 -0
  746. data/ext/cargo-vendor/wasmtime-winch-12.0.0/Cargo.toml +63 -0
  747. data/ext/cargo-vendor/wasmtime-winch-12.0.0/src/builder.rs +64 -0
  748. data/ext/cargo-vendor/wasmtime-winch-12.0.0/src/compiler.rs +217 -0
  749. data/ext/cargo-vendor/wasmtime-wit-bindgen-12.0.0/.cargo-checksum.json +1 -0
  750. data/ext/cargo-vendor/wasmtime-wit-bindgen-12.0.0/Cargo.toml +32 -0
  751. data/ext/cargo-vendor/wasmtime-wit-bindgen-12.0.0/src/lib.rs +1705 -0
  752. data/ext/cargo-vendor/wasmtime-wit-bindgen-12.0.0/src/rust.rs +498 -0
  753. data/ext/cargo-vendor/wasmtime-wit-bindgen-12.0.0/src/types.rs +185 -0
  754. data/ext/cargo-vendor/wiggle-12.0.0/.cargo-checksum.json +1 -0
  755. data/ext/cargo-vendor/wiggle-12.0.0/Cargo.toml +106 -0
  756. data/ext/cargo-vendor/wiggle-generate-12.0.0/.cargo-checksum.json +1 -0
  757. data/ext/cargo-vendor/wiggle-generate-12.0.0/Cargo.toml +58 -0
  758. data/ext/cargo-vendor/wiggle-generate-12.0.0/src/config.rs +697 -0
  759. data/ext/cargo-vendor/wiggle-generate-12.0.0/src/types/flags.rs +92 -0
  760. data/ext/cargo-vendor/wiggle-macro-12.0.0/.cargo-checksum.json +1 -0
  761. data/ext/cargo-vendor/wiggle-macro-12.0.0/Cargo.toml +55 -0
  762. data/ext/cargo-vendor/winch-codegen-0.10.0/.cargo-checksum.json +1 -0
  763. data/ext/cargo-vendor/winch-codegen-0.10.0/Cargo.toml +62 -0
  764. data/ext/cargo-vendor/winch-codegen-0.10.0/src/codegen/context.rs +368 -0
  765. data/ext/cargo-vendor/winch-codegen-0.10.0/src/codegen/control.rs +437 -0
  766. data/ext/cargo-vendor/winch-codegen-0.10.0/src/codegen/env.rs +74 -0
  767. data/ext/cargo-vendor/winch-codegen-0.10.0/src/codegen/mod.rs +330 -0
  768. data/ext/cargo-vendor/winch-codegen-0.10.0/src/visitor.rs +656 -0
  769. data/ext/cargo-vendor/wit-parser-0.9.2/.cargo-checksum.json +1 -0
  770. data/ext/cargo-vendor/wit-parser-0.9.2/Cargo.toml +62 -0
  771. data/ext/cargo-vendor/wit-parser-0.9.2/src/abi.rs +2199 -0
  772. data/ext/cargo-vendor/wit-parser-0.9.2/src/ast/lex.rs +717 -0
  773. data/ext/cargo-vendor/wit-parser-0.9.2/src/ast/resolve.rs +1437 -0
  774. data/ext/cargo-vendor/wit-parser-0.9.2/src/ast/toposort.rs +225 -0
  775. data/ext/cargo-vendor/wit-parser-0.9.2/src/ast.rs +1362 -0
  776. data/ext/cargo-vendor/wit-parser-0.9.2/src/lib.rs +666 -0
  777. data/ext/cargo-vendor/wit-parser-0.9.2/src/live.rs +116 -0
  778. data/ext/cargo-vendor/wit-parser-0.9.2/src/resolve.rs +1749 -0
  779. data/ext/cargo-vendor/wit-parser-0.9.2/src/sizealign.rs +145 -0
  780. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/complex-include/deps/bar/root.wit +9 -0
  781. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/complex-include/deps/baz/root.wit +9 -0
  782. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/complex-include/root.wit +26 -0
  783. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/cross-package-resource/deps/foo/foo.wit +5 -0
  784. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/cross-package-resource/foo.wit +7 -0
  785. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/foreign-deps/deps/another-pkg/other-doc.wit +3 -0
  786. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/foreign-deps/deps/corp/saas.wit +4 -0
  787. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/foreign-deps/deps/different-pkg/the-doc.wit +2 -0
  788. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/foreign-deps/deps/foreign-pkg/the-doc.wit +5 -0
  789. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/foreign-deps/deps/some-pkg/some-doc.wit +13 -0
  790. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/foreign-deps/deps/wasi/clocks.wit +5 -0
  791. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/foreign-deps/deps/wasi/filesystem.wit +7 -0
  792. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/foreign-deps-union/deps/wasi/wasi.wit +6 -0
  793. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/foreign-deps-union/root.wit +50 -0
  794. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/ignore-files-deps/deps/bar/types.wit +2 -0
  795. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/ignore-files-deps/deps/ignore-me.txt +1 -0
  796. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/ignore-files-deps/world.wit +5 -0
  797. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/include-reps.wit +15 -0
  798. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/kebab-name-include-with.wit +8 -0
  799. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/name-both-resource-and-type/deps/dep/foo.wit +5 -0
  800. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/name-both-resource-and-type/foo.wit +9 -0
  801. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-include1.wit +5 -0
  802. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-include1.wit.result +5 -0
  803. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-include2.wit +9 -0
  804. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-include2.wit.result +5 -0
  805. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-include3.wit +5 -0
  806. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-include3.wit.result +5 -0
  807. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-pkg1.wit.result +8 -0
  808. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource1.wit +5 -0
  809. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource1.wit.result +5 -0
  810. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource10.wit +5 -0
  811. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource10.wit.result +5 -0
  812. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource11.wit +5 -0
  813. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource11.wit.result +5 -0
  814. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource12.wit +6 -0
  815. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource12.wit.result +5 -0
  816. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource13.wit +6 -0
  817. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource13.wit.result +5 -0
  818. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource14.wit +7 -0
  819. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource14.wit.result +5 -0
  820. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource15/deps/foo/foo.wit +5 -0
  821. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource15/foo.wit +7 -0
  822. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource15.wit.result +5 -0
  823. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource2.wit +5 -0
  824. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource2.wit.result +5 -0
  825. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource3.wit +6 -0
  826. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource3.wit.result +5 -0
  827. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource4.wit +6 -0
  828. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource4.wit.result +5 -0
  829. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource5.wit +7 -0
  830. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource5.wit.result +5 -0
  831. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource6.wit +7 -0
  832. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource6.wit.result +5 -0
  833. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource7.wit +8 -0
  834. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource7.wit.result +5 -0
  835. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource8.wit +8 -0
  836. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource8.wit.result +5 -0
  837. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource9.wit +7 -0
  838. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/bad-resource9.wit.result +5 -0
  839. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/import-and-export1.wit.result +5 -0
  840. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/import-and-export2.wit +18 -0
  841. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/import-and-export2.wit.result +5 -0
  842. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/import-and-export3.wit.result +5 -0
  843. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/import-and-export4.wit +44 -0
  844. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/import-and-export4.wit.result +5 -0
  845. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/import-and-export5.wit +18 -0
  846. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/import-and-export5.wit.result +5 -0
  847. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/include-cycle.wit +9 -0
  848. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/include-cycle.wit.result +5 -0
  849. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/include-foreign/deps/bar/empty.wit +3 -0
  850. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/include-foreign/root.wit +5 -0
  851. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/include-foreign.wit.result +5 -0
  852. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/include-with-id.wit +11 -0
  853. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/include-with-id.wit.result +5 -0
  854. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/include-with-on-id.wit +11 -0
  855. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/include-with-on-id.wit.result +5 -0
  856. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/kebab-name-include-not-found.wit +8 -0
  857. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/kebab-name-include-not-found.wit.result +5 -0
  858. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/kebab-name-include.wit +8 -0
  859. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/kebab-name-include.wit.result +5 -0
  860. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/no-access-to-sibling-use.wit.result +8 -0
  861. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/non-existance-world-include/deps/bar/baz.wit +4 -0
  862. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/non-existance-world-include/root.wit +5 -0
  863. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/non-existance-world-include.wit.result +5 -0
  864. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/type-and-resource-same-name/deps/dep/foo.wit +5 -0
  865. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/type-and-resource-same-name/foo.wit +8 -0
  866. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/type-and-resource-same-name.wit.result +5 -0
  867. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/union-fuzz-2.wit +12 -0
  868. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/union-fuzz-2.wit.result +5 -0
  869. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/unknown-interface.wit.result +5 -0
  870. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/unresolved-interface2.wit.result +5 -0
  871. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/unresolved-interface3.wit.result +5 -0
  872. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/unresolved-use1.wit.result +5 -0
  873. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/unresolved-use9.wit.result +5 -0
  874. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/use-and-include-world/deps/bar/baz.wit +4 -0
  875. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/use-and-include-world/root.wit +7 -0
  876. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/use-and-include-world.wit.result +8 -0
  877. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/use-cycle1.wit.result +5 -0
  878. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/use-cycle4.wit.result +5 -0
  879. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/use-world/deps/bar/baz.wit +4 -0
  880. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/use-world/root.wit +7 -0
  881. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/use-world.wit.result +5 -0
  882. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/parse-fail/world-interface-clash.wit.result +5 -0
  883. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/resources-empty.wit +10 -0
  884. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/resources-multiple-returns-borrow.wit +10 -0
  885. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/resources-multiple-returns-own.wit +10 -0
  886. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/resources-multiple.wit +20 -0
  887. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/resources-return-borrow.wit +10 -0
  888. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/resources-return-own.wit +10 -0
  889. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/resources.wit +47 -0
  890. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/resources1.wit +12 -0
  891. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/shared-types.wit +10 -0
  892. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/stress-export-elaborate.wit +54 -0
  893. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/union-fuzz-1.wit +9 -0
  894. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/world-diamond.wit +22 -0
  895. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/world-same-fields4.wit +13 -0
  896. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/world-top-level-resources.wit +24 -0
  897. data/ext/cargo-vendor/wit-parser-0.9.2/tests/ui/worlds-union-dedup.wit +23 -0
  898. data/lib/wasmtime/version.rb +1 -1
  899. metadata +1992 -1571
  900. data/ext/cargo-vendor/addr2line-0.19.0/.cargo-checksum.json +0 -1
  901. data/ext/cargo-vendor/addr2line-0.19.0/CHANGELOG.md +0 -287
  902. data/ext/cargo-vendor/addr2line-0.19.0/Cargo.lock +0 -466
  903. data/ext/cargo-vendor/addr2line-0.19.0/Cargo.toml +0 -142
  904. data/ext/cargo-vendor/addr2line-0.19.0/examples/addr2line.rs +0 -289
  905. data/ext/cargo-vendor/addr2line-0.19.0/src/function.rs +0 -534
  906. data/ext/cargo-vendor/addr2line-0.19.0/src/lazy.rs +0 -27
  907. data/ext/cargo-vendor/addr2line-0.19.0/src/lib.rs +0 -1234
  908. data/ext/cargo-vendor/addr2line-0.19.0/tests/correctness.rs +0 -92
  909. data/ext/cargo-vendor/addr2line-0.19.0/tests/output_equivalence.rs +0 -146
  910. data/ext/cargo-vendor/addr2line-0.19.0/tests/parse.rs +0 -118
  911. data/ext/cargo-vendor/cap-fs-ext-1.0.15/.cargo-checksum.json +0 -1
  912. data/ext/cargo-vendor/cap-fs-ext-1.0.15/Cargo.toml +0 -63
  913. data/ext/cargo-vendor/cap-fs-ext-1.0.15/src/is_file_read_write.rs +0 -61
  914. data/ext/cargo-vendor/cap-primitives-1.0.15/.cargo-checksum.json +0 -1
  915. data/ext/cargo-vendor/cap-primitives-1.0.15/Cargo.toml +0 -81
  916. data/ext/cargo-vendor/cap-primitives-1.0.15/src/fs/mod.rs +0 -125
  917. data/ext/cargo-vendor/cap-primitives-1.0.15/src/net/pool.rs +0 -284
  918. data/ext/cargo-vendor/cap-primitives-1.0.15/src/rustix/fs/copy_impl.rs +0 -235
  919. data/ext/cargo-vendor/cap-primitives-1.0.15/src/rustix/fs/metadata_ext.rs +0 -385
  920. data/ext/cargo-vendor/cap-primitives-1.0.15/src/rustix/fs/oflags.rs +0 -98
  921. data/ext/cargo-vendor/cap-primitives-1.0.15/src/rustix/fs/open_unchecked.rs +0 -71
  922. data/ext/cargo-vendor/cap-primitives-1.0.15/src/rustix/fs/reopen_impl.rs +0 -18
  923. data/ext/cargo-vendor/cap-primitives-1.0.15/src/rustix/fs/set_permissions_impl.rs +0 -50
  924. data/ext/cargo-vendor/cap-primitives-1.0.15/src/rustix/fs/stat_unchecked.rs +0 -79
  925. data/ext/cargo-vendor/cap-primitives-1.0.15/src/rustix/fs/times.rs +0 -62
  926. data/ext/cargo-vendor/cap-primitives-1.0.15/src/rustix/linux/fs/procfs.rs +0 -76
  927. data/ext/cargo-vendor/cap-primitives-1.0.15/src/windows/fs/create_file_at_w.rs +0 -274
  928. data/ext/cargo-vendor/cap-primitives-1.0.15/src/windows/fs/metadata_ext.rs +0 -216
  929. data/ext/cargo-vendor/cap-primitives-1.0.15/src/windows/fs/open_unchecked.rs +0 -229
  930. data/ext/cargo-vendor/cap-rand-1.0.15/.cargo-checksum.json +0 -1
  931. data/ext/cargo-vendor/cap-rand-1.0.15/Cargo.toml +0 -38
  932. data/ext/cargo-vendor/cap-std-1.0.15/.cargo-checksum.json +0 -1
  933. data/ext/cargo-vendor/cap-std-1.0.15/Cargo.toml +0 -67
  934. data/ext/cargo-vendor/cap-std-1.0.15/build.rs +0 -43
  935. data/ext/cargo-vendor/cap-std-1.0.15/src/fs/file.rs +0 -616
  936. data/ext/cargo-vendor/cap-std-1.0.15/src/fs_utf8/file.rs +0 -610
  937. data/ext/cargo-vendor/cap-std-1.0.15/src/lib.rs +0 -53
  938. data/ext/cargo-vendor/cap-std-1.0.15/src/net/udp_socket.rs +0 -418
  939. data/ext/cargo-vendor/cap-time-ext-1.0.15/.cargo-checksum.json +0 -1
  940. data/ext/cargo-vendor/cap-time-ext-1.0.15/Cargo.toml +0 -42
  941. data/ext/cargo-vendor/cap-time-ext-1.0.15/src/monotonic_clock.rs +0 -63
  942. data/ext/cargo-vendor/cap-time-ext-1.0.15/src/system_clock.rs +0 -62
  943. data/ext/cargo-vendor/cranelift-bforest-0.98.1/.cargo-checksum.json +0 -1
  944. data/ext/cargo-vendor/cranelift-bforest-0.98.1/Cargo.toml +0 -31
  945. data/ext/cargo-vendor/cranelift-codegen-0.98.1/.cargo-checksum.json +0 -1
  946. data/ext/cargo-vendor/cranelift-codegen-0.98.1/Cargo.toml +0 -160
  947. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/cursor.rs +0 -644
  948. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/ir/extfunc.rs +0 -421
  949. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/ir/function.rs +0 -485
  950. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/ir/globalvalue.rs +0 -170
  951. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/ir/mod.rs +0 -107
  952. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/aarch64/abi.rs +0 -1506
  953. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/aarch64/inst/emit.rs +0 -3714
  954. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/aarch64/inst/mod.rs +0 -2980
  955. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/aarch64/inst.isle +0 -4037
  956. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/aarch64/lower/isle.rs +0 -837
  957. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/aarch64/lower.isle +0 -2899
  958. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/riscv64/abi.rs +0 -946
  959. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/riscv64/inst/emit.rs +0 -3058
  960. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/riscv64/inst/mod.rs +0 -2070
  961. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/riscv64/inst.isle +0 -2927
  962. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/riscv64/lower/isle.rs +0 -585
  963. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/riscv64/lower.isle +0 -1984
  964. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/x64/abi.rs +0 -1220
  965. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/isa/x64/lower.isle +0 -4650
  966. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/lib.rs +0 -140
  967. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/machinst/abi.rs +0 -2605
  968. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/machinst/buffer.rs +0 -2255
  969. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/machinst/isle.rs +0 -836
  970. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/machinst/lower.rs +0 -1388
  971. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/machinst/vcode.rs +0 -1580
  972. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/opts/cprop.isle +0 -197
  973. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/opts/icmp.isle +0 -177
  974. data/ext/cargo-vendor/cranelift-codegen-0.98.1/src/value_label.rs +0 -69
  975. data/ext/cargo-vendor/cranelift-codegen-meta-0.98.1/.cargo-checksum.json +0 -1
  976. data/ext/cargo-vendor/cranelift-codegen-meta-0.98.1/Cargo.toml +0 -23
  977. data/ext/cargo-vendor/cranelift-codegen-meta-0.98.1/src/gen_inst.rs +0 -1784
  978. data/ext/cargo-vendor/cranelift-codegen-meta-0.98.1/src/isa/arm64.rs +0 -61
  979. data/ext/cargo-vendor/cranelift-codegen-meta-0.98.1/src/isa/mod.rs +0 -67
  980. data/ext/cargo-vendor/cranelift-codegen-meta-0.98.1/src/isa/riscv64.rs +0 -108
  981. data/ext/cargo-vendor/cranelift-codegen-meta-0.98.1/src/isa/s390x.rs +0 -47
  982. data/ext/cargo-vendor/cranelift-codegen-meta-0.98.1/src/isa/x86.rs +0 -409
  983. data/ext/cargo-vendor/cranelift-codegen-meta-0.98.1/src/lib.rs +0 -66
  984. data/ext/cargo-vendor/cranelift-codegen-meta-0.98.1/src/shared/mod.rs +0 -87
  985. data/ext/cargo-vendor/cranelift-codegen-shared-0.98.1/.cargo-checksum.json +0 -1
  986. data/ext/cargo-vendor/cranelift-codegen-shared-0.98.1/Cargo.toml +0 -22
  987. data/ext/cargo-vendor/cranelift-control-0.98.1/.cargo-checksum.json +0 -1
  988. data/ext/cargo-vendor/cranelift-control-0.98.1/Cargo.toml +0 -30
  989. data/ext/cargo-vendor/cranelift-entity-0.98.1/.cargo-checksum.json +0 -1
  990. data/ext/cargo-vendor/cranelift-entity-0.98.1/Cargo.toml +0 -35
  991. data/ext/cargo-vendor/cranelift-frontend-0.98.1/.cargo-checksum.json +0 -1
  992. data/ext/cargo-vendor/cranelift-frontend-0.98.1/Cargo.toml +0 -53
  993. data/ext/cargo-vendor/cranelift-frontend-0.98.1/src/lib.rs +0 -204
  994. data/ext/cargo-vendor/cranelift-isle-0.98.1/.cargo-checksum.json +0 -1
  995. data/ext/cargo-vendor/cranelift-isle-0.98.1/Cargo.toml +0 -37
  996. data/ext/cargo-vendor/cranelift-native-0.98.1/.cargo-checksum.json +0 -1
  997. data/ext/cargo-vendor/cranelift-native-0.98.1/Cargo.toml +0 -38
  998. data/ext/cargo-vendor/cranelift-wasm-0.98.1/.cargo-checksum.json +0 -1
  999. data/ext/cargo-vendor/cranelift-wasm-0.98.1/Cargo.toml +0 -85
  1000. data/ext/cargo-vendor/cranelift-wasm-0.98.1/src/code_translator.rs +0 -3544
  1001. data/ext/cargo-vendor/cranelift-wasm-0.98.1/src/environ/dummy.rs +0 -924
  1002. data/ext/cargo-vendor/cranelift-wasm-0.98.1/src/environ/spec.rs +0 -852
  1003. data/ext/cargo-vendor/cranelift-wasm-0.98.1/src/func_translator.rs +0 -440
  1004. data/ext/cargo-vendor/cranelift-wasm-0.98.1/src/sections_translator.rs +0 -417
  1005. data/ext/cargo-vendor/cranelift-wasm-0.98.1/tests/wasm_testsuite.rs +0 -162
  1006. data/ext/cargo-vendor/fs-set-times-0.19.2/.cargo-checksum.json +0 -1
  1007. data/ext/cargo-vendor/fs-set-times-0.19.2/Cargo.toml +0 -45
  1008. data/ext/cargo-vendor/io-extras-0.17.4/.cargo-checksum.json +0 -1
  1009. data/ext/cargo-vendor/io-extras-0.17.4/Cargo.toml +0 -106
  1010. data/ext/cargo-vendor/io-extras-0.17.4/README.md +0 -33
  1011. data/ext/cargo-vendor/io-extras-0.17.4/build.rs +0 -79
  1012. data/ext/cargo-vendor/io-extras-0.17.4/src/os/windows/stdio.rs +0 -329
  1013. data/ext/cargo-vendor/memoffset-0.8.0/.cargo-checksum.json +0 -1
  1014. data/ext/cargo-vendor/memoffset-0.8.0/Cargo.toml +0 -36
  1015. data/ext/cargo-vendor/memoffset-0.8.0/LICENSE +0 -19
  1016. data/ext/cargo-vendor/memoffset-0.8.0/README.md +0 -85
  1017. data/ext/cargo-vendor/memoffset-0.8.0/build.rs +0 -25
  1018. data/ext/cargo-vendor/memoffset-0.8.0/src/lib.rs +0 -93
  1019. data/ext/cargo-vendor/memoffset-0.8.0/src/offset_of.rs +0 -356
  1020. data/ext/cargo-vendor/memoffset-0.8.0/src/raw_field.rs +0 -226
  1021. data/ext/cargo-vendor/memoffset-0.8.0/src/span_of.rs +0 -263
  1022. data/ext/cargo-vendor/object-0.30.4/.cargo-checksum.json +0 -1
  1023. data/ext/cargo-vendor/object-0.30.4/CHANGELOG.md +0 -524
  1024. data/ext/cargo-vendor/object-0.30.4/Cargo.toml +0 -154
  1025. data/ext/cargo-vendor/object-0.30.4/README.md +0 -58
  1026. data/ext/cargo-vendor/object-0.30.4/clippy.toml +0 -1
  1027. data/ext/cargo-vendor/object-0.30.4/src/common.rs +0 -480
  1028. data/ext/cargo-vendor/object-0.30.4/src/elf.rs +0 -6751
  1029. data/ext/cargo-vendor/object-0.30.4/src/endian.rs +0 -771
  1030. data/ext/cargo-vendor/object-0.30.4/src/lib.rs +0 -117
  1031. data/ext/cargo-vendor/object-0.30.4/src/macho.rs +0 -3307
  1032. data/ext/cargo-vendor/object-0.30.4/src/pe.rs +0 -3050
  1033. data/ext/cargo-vendor/object-0.30.4/src/read/any.rs +0 -1341
  1034. data/ext/cargo-vendor/object-0.30.4/src/read/coff/comdat.rs +0 -167
  1035. data/ext/cargo-vendor/object-0.30.4/src/read/coff/file.rs +0 -247
  1036. data/ext/cargo-vendor/object-0.30.4/src/read/coff/relocation.rs +0 -91
  1037. data/ext/cargo-vendor/object-0.30.4/src/read/coff/section.rs +0 -524
  1038. data/ext/cargo-vendor/object-0.30.4/src/read/coff/symbol.rs +0 -526
  1039. data/ext/cargo-vendor/object-0.30.4/src/read/elf/comdat.rs +0 -162
  1040. data/ext/cargo-vendor/object-0.30.4/src/read/elf/file.rs +0 -885
  1041. data/ext/cargo-vendor/object-0.30.4/src/read/elf/hash.rs +0 -220
  1042. data/ext/cargo-vendor/object-0.30.4/src/read/elf/mod.rs +0 -39
  1043. data/ext/cargo-vendor/object-0.30.4/src/read/elf/note.rs +0 -185
  1044. data/ext/cargo-vendor/object-0.30.4/src/read/elf/section.rs +0 -1090
  1045. data/ext/cargo-vendor/object-0.30.4/src/read/elf/segment.rs +0 -333
  1046. data/ext/cargo-vendor/object-0.30.4/src/read/elf/symbol.rs +0 -580
  1047. data/ext/cargo-vendor/object-0.30.4/src/read/elf/version.rs +0 -421
  1048. data/ext/cargo-vendor/object-0.30.4/src/read/macho/dyld_cache.rs +0 -343
  1049. data/ext/cargo-vendor/object-0.30.4/src/read/macho/file.rs +0 -731
  1050. data/ext/cargo-vendor/object-0.30.4/src/read/macho/load_command.rs +0 -353
  1051. data/ext/cargo-vendor/object-0.30.4/src/read/macho/relocation.rs +0 -126
  1052. data/ext/cargo-vendor/object-0.30.4/src/read/macho/section.rs +0 -384
  1053. data/ext/cargo-vendor/object-0.30.4/src/read/macho/segment.rs +0 -303
  1054. data/ext/cargo-vendor/object-0.30.4/src/read/macho/symbol.rs +0 -488
  1055. data/ext/cargo-vendor/object-0.30.4/src/read/mod.rs +0 -725
  1056. data/ext/cargo-vendor/object-0.30.4/src/read/pe/data_directory.rs +0 -211
  1057. data/ext/cargo-vendor/object-0.30.4/src/read/pe/file.rs +0 -1029
  1058. data/ext/cargo-vendor/object-0.30.4/src/read/pe/resource.rs +0 -207
  1059. data/ext/cargo-vendor/object-0.30.4/src/read/pe/rich.rs +0 -91
  1060. data/ext/cargo-vendor/object-0.30.4/src/read/pe/section.rs +0 -436
  1061. data/ext/cargo-vendor/object-0.30.4/src/read/read_cache.rs +0 -185
  1062. data/ext/cargo-vendor/object-0.30.4/src/read/read_ref.rs +0 -137
  1063. data/ext/cargo-vendor/object-0.30.4/src/read/traits.rs +0 -469
  1064. data/ext/cargo-vendor/object-0.30.4/src/read/util.rs +0 -383
  1065. data/ext/cargo-vendor/object-0.30.4/src/read/wasm.rs +0 -908
  1066. data/ext/cargo-vendor/object-0.30.4/src/read/xcoff/comdat.rs +0 -130
  1067. data/ext/cargo-vendor/object-0.30.4/src/read/xcoff/relocation.rs +0 -128
  1068. data/ext/cargo-vendor/object-0.30.4/src/read/xcoff/section.rs +0 -426
  1069. data/ext/cargo-vendor/object-0.30.4/src/read/xcoff/segment.rs +0 -115
  1070. data/ext/cargo-vendor/object-0.30.4/src/read/xcoff/symbol.rs +0 -634
  1071. data/ext/cargo-vendor/object-0.30.4/src/write/coff.rs +0 -713
  1072. data/ext/cargo-vendor/object-0.30.4/src/write/elf/object.rs +0 -838
  1073. data/ext/cargo-vendor/object-0.30.4/src/write/elf/writer.rs +0 -1955
  1074. data/ext/cargo-vendor/object-0.30.4/src/write/macho.rs +0 -876
  1075. data/ext/cargo-vendor/object-0.30.4/src/write/mod.rs +0 -917
  1076. data/ext/cargo-vendor/object-0.30.4/src/write/string.rs +0 -159
  1077. data/ext/cargo-vendor/object-0.30.4/src/write/util.rs +0 -210
  1078. data/ext/cargo-vendor/object-0.30.4/src/xcoff.rs +0 -893
  1079. data/ext/cargo-vendor/object-0.30.4/tests/read/coff.rs +0 -23
  1080. data/ext/cargo-vendor/object-0.30.4/tests/round_trip/elf.rs +0 -218
  1081. data/ext/cargo-vendor/object-0.30.4/tests/round_trip/macho.rs +0 -63
  1082. data/ext/cargo-vendor/object-0.30.4/tests/round_trip/mod.rs +0 -451
  1083. data/ext/cargo-vendor/pulldown-cmark-0.8.0/.cargo-checksum.json +0 -1
  1084. data/ext/cargo-vendor/pulldown-cmark-0.8.0/CONTRIBUTING.md +0 -21
  1085. data/ext/cargo-vendor/pulldown-cmark-0.8.0/Cargo.lock +0 -924
  1086. data/ext/cargo-vendor/pulldown-cmark-0.8.0/Cargo.toml +0 -68
  1087. data/ext/cargo-vendor/pulldown-cmark-0.8.0/README.md +0 -152
  1088. data/ext/cargo-vendor/pulldown-cmark-0.8.0/benches/html_rendering.rs +0 -101
  1089. data/ext/cargo-vendor/pulldown-cmark-0.8.0/benches/lib.rs +0 -49
  1090. data/ext/cargo-vendor/pulldown-cmark-0.8.0/build.rs +0 -186
  1091. data/ext/cargo-vendor/pulldown-cmark-0.8.0/examples/broken-link-callbacks.rs +0 -37
  1092. data/ext/cargo-vendor/pulldown-cmark-0.8.0/examples/event-filter.rs +0 -29
  1093. data/ext/cargo-vendor/pulldown-cmark-0.8.0/examples/string-to-string.rs +0 -26
  1094. data/ext/cargo-vendor/pulldown-cmark-0.8.0/src/escape.rs +0 -356
  1095. data/ext/cargo-vendor/pulldown-cmark-0.8.0/src/html.rs +0 -461
  1096. data/ext/cargo-vendor/pulldown-cmark-0.8.0/src/lib.rs +0 -76
  1097. data/ext/cargo-vendor/pulldown-cmark-0.8.0/src/linklabel.rs +0 -135
  1098. data/ext/cargo-vendor/pulldown-cmark-0.8.0/src/main.rs +0 -109
  1099. data/ext/cargo-vendor/pulldown-cmark-0.8.0/src/parse.rs +0 -3374
  1100. data/ext/cargo-vendor/pulldown-cmark-0.8.0/src/puncttable.rs +0 -351
  1101. data/ext/cargo-vendor/pulldown-cmark-0.8.0/src/scanners.rs +0 -1308
  1102. data/ext/cargo-vendor/pulldown-cmark-0.8.0/src/simd.rs +0 -248
  1103. data/ext/cargo-vendor/pulldown-cmark-0.8.0/src/strings.rs +0 -243
  1104. data/ext/cargo-vendor/pulldown-cmark-0.8.0/src/tree.rs +0 -216
  1105. data/ext/cargo-vendor/pulldown-cmark-0.8.0/tests/errors.rs +0 -44
  1106. data/ext/cargo-vendor/pulldown-cmark-0.8.0/tests/html.rs +0 -252
  1107. data/ext/cargo-vendor/pulldown-cmark-0.8.0/tests/lib.rs +0 -425
  1108. data/ext/cargo-vendor/pulldown-cmark-0.8.0/tests/suite/gfm_strikethrough.rs +0 -27
  1109. data/ext/cargo-vendor/pulldown-cmark-0.8.0/tests/suite/gfm_table.rs +0 -205
  1110. data/ext/cargo-vendor/pulldown-cmark-0.8.0/tests/suite/mod.rs +0 -13
  1111. data/ext/cargo-vendor/pulldown-cmark-0.8.0/tests/suite/regression.rs +0 -953
  1112. data/ext/cargo-vendor/pulldown-cmark-0.8.0/tests/suite/spec.rs +0 -8447
  1113. data/ext/cargo-vendor/system-interface-0.25.9/.cargo-checksum.json +0 -1
  1114. data/ext/cargo-vendor/system-interface-0.25.9/Cargo.toml +0 -105
  1115. data/ext/cargo-vendor/system-interface-0.25.9/build.rs +0 -55
  1116. data/ext/cargo-vendor/system-interface-0.25.9/src/fs/file_io_ext.rs +0 -1186
  1117. data/ext/cargo-vendor/wasi-cap-std-sync-11.0.0/.cargo-checksum.json +0 -1
  1118. data/ext/cargo-vendor/wasi-cap-std-sync-11.0.0/Cargo.toml +0 -90
  1119. data/ext/cargo-vendor/wasi-cap-std-sync-11.0.0/src/sched/unix.rs +0 -82
  1120. data/ext/cargo-vendor/wasi-common-11.0.0/.cargo-checksum.json +0 -1
  1121. data/ext/cargo-vendor/wasi-common-11.0.0/Cargo.toml +0 -87
  1122. data/ext/cargo-vendor/wasi-common-11.0.0/src/file.rs +0 -262
  1123. data/ext/cargo-vendor/wasi-common-11.0.0/src/sched/subscription.rs +0 -76
  1124. data/ext/cargo-vendor/wasi-common-11.0.0/src/snapshots/preview_1/error.rs +0 -255
  1125. data/ext/cargo-vendor/wasm-encoder-0.29.0/.cargo-checksum.json +0 -1
  1126. data/ext/cargo-vendor/wasm-encoder-0.29.0/Cargo.toml +0 -33
  1127. data/ext/cargo-vendor/wasm-encoder-0.29.0/README.md +0 -80
  1128. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/component/aliases.rs +0 -160
  1129. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/component/canonicals.rs +0 -159
  1130. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/component/components.rs +0 -29
  1131. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/component/exports.rs +0 -127
  1132. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/component/imports.rs +0 -200
  1133. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/component/instances.rs +0 -200
  1134. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/component/modules.rs +0 -29
  1135. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/component/names.rs +0 -149
  1136. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/component/start.rs +0 -52
  1137. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/component/types.rs +0 -759
  1138. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/component.rs +0 -160
  1139. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/code.rs +0 -2913
  1140. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/custom.rs +0 -55
  1141. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/data.rs +0 -185
  1142. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/dump.rs +0 -627
  1143. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/elements.rs +0 -224
  1144. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/exports.rs +0 -85
  1145. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/functions.rs +0 -63
  1146. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/globals.rs +0 -90
  1147. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/imports.rs +0 -142
  1148. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/linking.rs +0 -263
  1149. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/memories.rs +0 -99
  1150. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/names.rs +0 -265
  1151. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/producers.rs +0 -180
  1152. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/start.rs +0 -39
  1153. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/tables.rs +0 -104
  1154. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/tags.rs +0 -85
  1155. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core/types.rs +0 -246
  1156. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/core.rs +0 -168
  1157. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/lib.rs +0 -215
  1158. data/ext/cargo-vendor/wasm-encoder-0.29.0/src/raw.rs +0 -30
  1159. data/ext/cargo-vendor/wasmparser-0.107.0/.cargo-checksum.json +0 -1
  1160. data/ext/cargo-vendor/wasmparser-0.107.0/Cargo.lock +0 -621
  1161. data/ext/cargo-vendor/wasmparser-0.107.0/Cargo.toml +0 -54
  1162. data/ext/cargo-vendor/wasmparser-0.107.0/benches/benchmark.rs +0 -351
  1163. data/ext/cargo-vendor/wasmparser-0.107.0/src/lib.rs +0 -723
  1164. data/ext/cargo-vendor/wasmparser-0.107.0/src/limits.rs +0 -57
  1165. data/ext/cargo-vendor/wasmparser-0.107.0/src/parser.rs +0 -1535
  1166. data/ext/cargo-vendor/wasmparser-0.107.0/src/readers/component/canonicals.rs +0 -119
  1167. data/ext/cargo-vendor/wasmparser-0.107.0/src/readers/component/types.rs +0 -548
  1168. data/ext/cargo-vendor/wasmparser-0.107.0/src/readers/core/elements.rs +0 -158
  1169. data/ext/cargo-vendor/wasmparser-0.107.0/src/readers/core/types.rs +0 -874
  1170. data/ext/cargo-vendor/wasmparser-0.107.0/src/validator/component.rs +0 -3082
  1171. data/ext/cargo-vendor/wasmparser-0.107.0/src/validator/core.rs +0 -1334
  1172. data/ext/cargo-vendor/wasmparser-0.107.0/src/validator/operators.rs +0 -3463
  1173. data/ext/cargo-vendor/wasmparser-0.107.0/src/validator/types.rs +0 -3070
  1174. data/ext/cargo-vendor/wasmparser-0.107.0/src/validator.rs +0 -1589
  1175. data/ext/cargo-vendor/wasmparser-0.107.0/tests/big-module.rs +0 -32
  1176. data/ext/cargo-vendor/wasmtime-11.0.0/.cargo-checksum.json +0 -1
  1177. data/ext/cargo-vendor/wasmtime-11.0.0/Cargo.toml +0 -183
  1178. data/ext/cargo-vendor/wasmtime-11.0.0/README.md +0 -119
  1179. data/ext/cargo-vendor/wasmtime-11.0.0/src/compiler.rs +0 -710
  1180. data/ext/cargo-vendor/wasmtime-11.0.0/src/component/component.rs +0 -397
  1181. data/ext/cargo-vendor/wasmtime-11.0.0/src/component/func/host.rs +0 -472
  1182. data/ext/cargo-vendor/wasmtime-11.0.0/src/component/func/options.rs +0 -270
  1183. data/ext/cargo-vendor/wasmtime-11.0.0/src/component/func/typed.rs +0 -2298
  1184. data/ext/cargo-vendor/wasmtime-11.0.0/src/component/func.rs +0 -701
  1185. data/ext/cargo-vendor/wasmtime-11.0.0/src/component/instance.rs +0 -727
  1186. data/ext/cargo-vendor/wasmtime-11.0.0/src/component/linker.rs +0 -404
  1187. data/ext/cargo-vendor/wasmtime-11.0.0/src/component/matching.rs +0 -112
  1188. data/ext/cargo-vendor/wasmtime-11.0.0/src/component/mod.rs +0 -313
  1189. data/ext/cargo-vendor/wasmtime-11.0.0/src/component/types.rs +0 -514
  1190. data/ext/cargo-vendor/wasmtime-11.0.0/src/component/values.rs +0 -1319
  1191. data/ext/cargo-vendor/wasmtime-11.0.0/src/config.rs +0 -2058
  1192. data/ext/cargo-vendor/wasmtime-11.0.0/src/engine/serialization.rs +0 -622
  1193. data/ext/cargo-vendor/wasmtime-11.0.0/src/externals.rs +0 -763
  1194. data/ext/cargo-vendor/wasmtime-11.0.0/src/func.rs +0 -2355
  1195. data/ext/cargo-vendor/wasmtime-11.0.0/src/instance.rs +0 -905
  1196. data/ext/cargo-vendor/wasmtime-11.0.0/src/lib.rs +0 -486
  1197. data/ext/cargo-vendor/wasmtime-11.0.0/src/memory.rs +0 -950
  1198. data/ext/cargo-vendor/wasmtime-11.0.0/src/module/registry.rs +0 -321
  1199. data/ext/cargo-vendor/wasmtime-11.0.0/src/module.rs +0 -1274
  1200. data/ext/cargo-vendor/wasmtime-11.0.0/src/store/data.rs +0 -275
  1201. data/ext/cargo-vendor/wasmtime-11.0.0/src/store/func_refs.rs +0 -85
  1202. data/ext/cargo-vendor/wasmtime-11.0.0/src/store.rs +0 -2166
  1203. data/ext/cargo-vendor/wasmtime-11.0.0/src/trap.rs +0 -631
  1204. data/ext/cargo-vendor/wasmtime-asm-macros-11.0.0/.cargo-checksum.json +0 -1
  1205. data/ext/cargo-vendor/wasmtime-asm-macros-11.0.0/Cargo.toml +0 -22
  1206. data/ext/cargo-vendor/wasmtime-cache-11.0.0/.cargo-checksum.json +0 -1
  1207. data/ext/cargo-vendor/wasmtime-cache-11.0.0/Cargo.toml +0 -73
  1208. data/ext/cargo-vendor/wasmtime-component-macro-11.0.0/.cargo-checksum.json +0 -1
  1209. data/ext/cargo-vendor/wasmtime-component-macro-11.0.0/Cargo.toml +0 -58
  1210. data/ext/cargo-vendor/wasmtime-component-macro-11.0.0/src/bindgen.rs +0 -348
  1211. data/ext/cargo-vendor/wasmtime-component-macro-11.0.0/src/component.rs +0 -1354
  1212. data/ext/cargo-vendor/wasmtime-component-util-11.0.0/.cargo-checksum.json +0 -1
  1213. data/ext/cargo-vendor/wasmtime-component-util-11.0.0/Cargo.toml +0 -25
  1214. data/ext/cargo-vendor/wasmtime-cranelift-11.0.0/.cargo-checksum.json +0 -1
  1215. data/ext/cargo-vendor/wasmtime-cranelift-11.0.0/Cargo.toml +0 -90
  1216. data/ext/cargo-vendor/wasmtime-cranelift-11.0.0/src/builder.rs +0 -108
  1217. data/ext/cargo-vendor/wasmtime-cranelift-11.0.0/src/compiler/component.rs +0 -593
  1218. data/ext/cargo-vendor/wasmtime-cranelift-11.0.0/src/compiler.rs +0 -1297
  1219. data/ext/cargo-vendor/wasmtime-cranelift-11.0.0/src/debug/transform/expression.rs +0 -1250
  1220. data/ext/cargo-vendor/wasmtime-cranelift-11.0.0/src/debug/transform/unit.rs +0 -521
  1221. data/ext/cargo-vendor/wasmtime-cranelift-11.0.0/src/debug/transform/utils.rs +0 -187
  1222. data/ext/cargo-vendor/wasmtime-cranelift-11.0.0/src/func_environ.rs +0 -2218
  1223. data/ext/cargo-vendor/wasmtime-cranelift-11.0.0/src/lib.rs +0 -160
  1224. data/ext/cargo-vendor/wasmtime-cranelift-shared-11.0.0/.cargo-checksum.json +0 -1
  1225. data/ext/cargo-vendor/wasmtime-cranelift-shared-11.0.0/Cargo.toml +0 -57
  1226. data/ext/cargo-vendor/wasmtime-cranelift-shared-11.0.0/src/lib.rs +0 -120
  1227. data/ext/cargo-vendor/wasmtime-environ-11.0.0/.cargo-checksum.json +0 -1
  1228. data/ext/cargo-vendor/wasmtime-environ-11.0.0/Cargo.lock +0 -681
  1229. data/ext/cargo-vendor/wasmtime-environ-11.0.0/Cargo.toml +0 -116
  1230. data/ext/cargo-vendor/wasmtime-environ-11.0.0/examples/factc.rs +0 -209
  1231. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/compilation.rs +0 -400
  1232. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/component/compiler.rs +0 -84
  1233. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/component/dfg.rs +0 -629
  1234. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/component/info.rs +0 -530
  1235. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/component/translate/adapt.rs +0 -449
  1236. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/component/translate/inline.rs +0 -1069
  1237. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/component/translate.rs +0 -843
  1238. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/component/types.rs +0 -1827
  1239. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/component/vmcomponent_offsets.rs +0 -336
  1240. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/component.rs +0 -72
  1241. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/fact/signature.rs +0 -118
  1242. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/fact/trampoline.rs +0 -3173
  1243. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/fact/transcode.rs +0 -168
  1244. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/fact.rs +0 -623
  1245. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/module_environ.rs +0 -884
  1246. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/trap_encoding.rs +0 -238
  1247. data/ext/cargo-vendor/wasmtime-environ-11.0.0/src/tunables.rs +0 -111
  1248. data/ext/cargo-vendor/wasmtime-fiber-11.0.0/.cargo-checksum.json +0 -1
  1249. data/ext/cargo-vendor/wasmtime-fiber-11.0.0/Cargo.toml +0 -46
  1250. data/ext/cargo-vendor/wasmtime-fiber-11.0.0/build.rs +0 -23
  1251. data/ext/cargo-vendor/wasmtime-fiber-11.0.0/src/unix/aarch64.rs +0 -184
  1252. data/ext/cargo-vendor/wasmtime-fiber-11.0.0/src/unix/arm.rs +0 -85
  1253. data/ext/cargo-vendor/wasmtime-fiber-11.0.0/src/unix/riscv64.rs +0 -158
  1254. data/ext/cargo-vendor/wasmtime-fiber-11.0.0/src/unix/s390x.S +0 -120
  1255. data/ext/cargo-vendor/wasmtime-fiber-11.0.0/src/unix/x86_64.rs +0 -160
  1256. data/ext/cargo-vendor/wasmtime-fiber-11.0.0/src/unix.rs +0 -212
  1257. data/ext/cargo-vendor/wasmtime-fiber-11.0.0/src/windows.c +0 -5
  1258. data/ext/cargo-vendor/wasmtime-fiber-11.0.0/src/windows.rs +0 -161
  1259. data/ext/cargo-vendor/wasmtime-jit-11.0.0/.cargo-checksum.json +0 -1
  1260. data/ext/cargo-vendor/wasmtime-jit-11.0.0/Cargo.toml +0 -104
  1261. data/ext/cargo-vendor/wasmtime-jit-11.0.0/src/profiling.rs +0 -108
  1262. data/ext/cargo-vendor/wasmtime-jit-debug-11.0.0/.cargo-checksum.json +0 -1
  1263. data/ext/cargo-vendor/wasmtime-jit-debug-11.0.0/Cargo.toml +0 -55
  1264. data/ext/cargo-vendor/wasmtime-jit-debug-11.0.0/src/gdb_jit_int.rs +0 -128
  1265. data/ext/cargo-vendor/wasmtime-jit-icache-coherence-11.0.0/.cargo-checksum.json +0 -1
  1266. data/ext/cargo-vendor/wasmtime-jit-icache-coherence-11.0.0/Cargo.toml +0 -37
  1267. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/.cargo-checksum.json +0 -1
  1268. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/Cargo.toml +0 -110
  1269. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/build.rs +0 -17
  1270. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/component/transcode.rs +0 -451
  1271. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/component.rs +0 -727
  1272. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/debug_builtins.rs +0 -58
  1273. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/helpers.c +0 -104
  1274. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/instance/allocator.rs +0 -531
  1275. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/lib.rs +0 -285
  1276. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/libcalls.rs +0 -626
  1277. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/mmap/unix.rs +0 -148
  1278. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/send_sync_ptr.rs +0 -69
  1279. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/trampolines/aarch64.rs +0 -42
  1280. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/trampolines/riscv64.rs +0 -43
  1281. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/trampolines/s390x.S +0 -58
  1282. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/trampolines/x86_64.rs +0 -64
  1283. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/traphandlers/unix.rs +0 -387
  1284. data/ext/cargo-vendor/wasmtime-runtime-11.0.0/src/traphandlers.rs +0 -749
  1285. data/ext/cargo-vendor/wasmtime-types-11.0.1/.cargo-checksum.json +0 -1
  1286. data/ext/cargo-vendor/wasmtime-types-11.0.1/Cargo.toml +0 -34
  1287. data/ext/cargo-vendor/wasmtime-types-11.0.1/src/lib.rs +0 -462
  1288. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/.cargo-checksum.json +0 -1
  1289. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/Cargo.toml +0 -153
  1290. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/ctx.rs +0 -238
  1291. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/filesystem.rs +0 -269
  1292. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/mod.rs +0 -44
  1293. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/pipe.rs +0 -233
  1294. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/preview1/mod.rs +0 -1860
  1295. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/preview2/clocks.rs +0 -80
  1296. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/preview2/env.rs +0 -48
  1297. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/preview2/exit.rs +0 -12
  1298. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/preview2/filesystem.rs +0 -1020
  1299. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/preview2/io.rs +0 -215
  1300. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/preview2/mod.rs +0 -7
  1301. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/preview2/poll.rs +0 -83
  1302. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/preview2/random.rs +0 -41
  1303. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/sched/subscription.rs +0 -104
  1304. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/sched/sync.rs +0 -156
  1305. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/sched.rs +0 -110
  1306. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/stdio.rs +0 -176
  1307. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/stream.rs +0 -210
  1308. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/table.rs +0 -107
  1309. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/wasi/command.rs +0 -43
  1310. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/src/preview2/wasi/mod.rs +0 -30
  1311. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/wit/deps/io/streams.wit +0 -215
  1312. data/ext/cargo-vendor/wasmtime-wasi-11.0.0/wit/test.wit +0 -19
  1313. data/ext/cargo-vendor/wasmtime-winch-11.0.0/.cargo-checksum.json +0 -1
  1314. data/ext/cargo-vendor/wasmtime-winch-11.0.0/Cargo.toml +0 -63
  1315. data/ext/cargo-vendor/wasmtime-winch-11.0.0/src/builder.rs +0 -59
  1316. data/ext/cargo-vendor/wasmtime-winch-11.0.0/src/compiler.rs +0 -220
  1317. data/ext/cargo-vendor/wasmtime-wit-bindgen-11.0.0/.cargo-checksum.json +0 -1
  1318. data/ext/cargo-vendor/wasmtime-wit-bindgen-11.0.0/Cargo.toml +0 -29
  1319. data/ext/cargo-vendor/wasmtime-wit-bindgen-11.0.0/src/lib.rs +0 -1648
  1320. data/ext/cargo-vendor/wasmtime-wit-bindgen-11.0.0/src/rust.rs +0 -491
  1321. data/ext/cargo-vendor/wasmtime-wit-bindgen-11.0.0/src/types.rs +0 -183
  1322. data/ext/cargo-vendor/wiggle-11.0.0/.cargo-checksum.json +0 -1
  1323. data/ext/cargo-vendor/wiggle-11.0.0/Cargo.toml +0 -106
  1324. data/ext/cargo-vendor/wiggle-generate-11.0.0/.cargo-checksum.json +0 -1
  1325. data/ext/cargo-vendor/wiggle-generate-11.0.0/Cargo.toml +0 -58
  1326. data/ext/cargo-vendor/wiggle-generate-11.0.0/src/config.rs +0 -696
  1327. data/ext/cargo-vendor/wiggle-generate-11.0.0/src/types/flags.rs +0 -94
  1328. data/ext/cargo-vendor/wiggle-macro-11.0.0/.cargo-checksum.json +0 -1
  1329. data/ext/cargo-vendor/wiggle-macro-11.0.0/Cargo.toml +0 -55
  1330. data/ext/cargo-vendor/wiggle-macro-11.0.0/LICENSE +0 -220
  1331. data/ext/cargo-vendor/winch-codegen-0.9.0/.cargo-checksum.json +0 -1
  1332. data/ext/cargo-vendor/winch-codegen-0.9.0/Cargo.toml +0 -62
  1333. data/ext/cargo-vendor/winch-codegen-0.9.0/src/codegen/context.rs +0 -328
  1334. data/ext/cargo-vendor/winch-codegen-0.9.0/src/codegen/control.rs +0 -437
  1335. data/ext/cargo-vendor/winch-codegen-0.9.0/src/codegen/env.rs +0 -64
  1336. data/ext/cargo-vendor/winch-codegen-0.9.0/src/codegen/mod.rs +0 -319
  1337. data/ext/cargo-vendor/winch-codegen-0.9.0/src/visitor.rs +0 -630
  1338. data/ext/cargo-vendor/winx-0.35.1/.cargo-checksum.json +0 -1
  1339. data/ext/cargo-vendor/winx-0.35.1/Cargo.toml +0 -50
  1340. data/ext/cargo-vendor/winx-0.35.1/LICENSE +0 -220
  1341. data/ext/cargo-vendor/winx-0.35.1/README.md +0 -5
  1342. data/ext/cargo-vendor/winx-0.35.1/src/cvt.rs +0 -24
  1343. data/ext/cargo-vendor/winx-0.35.1/src/file.rs +0 -420
  1344. data/ext/cargo-vendor/winx-0.35.1/src/lib.rs +0 -31
  1345. data/ext/cargo-vendor/winx-0.35.1/src/ntdll.rs +0 -69
  1346. data/ext/cargo-vendor/winx-0.35.1/src/time.rs +0 -11
  1347. data/ext/cargo-vendor/winx-0.35.1/src/winapi_util/file.rs +0 -171
  1348. data/ext/cargo-vendor/winx-0.35.1/src/winapi_util/mod.rs +0 -9
  1349. data/ext/cargo-vendor/wit-parser-0.8.0/.cargo-checksum.json +0 -1
  1350. data/ext/cargo-vendor/wit-parser-0.8.0/Cargo.toml +0 -62
  1351. data/ext/cargo-vendor/wit-parser-0.8.0/src/abi.rs +0 -2134
  1352. data/ext/cargo-vendor/wit-parser-0.8.0/src/ast/lex.rs +0 -679
  1353. data/ext/cargo-vendor/wit-parser-0.8.0/src/ast/resolve.rs +0 -1122
  1354. data/ext/cargo-vendor/wit-parser-0.8.0/src/ast/toposort.rs +0 -204
  1355. data/ext/cargo-vendor/wit-parser-0.8.0/src/ast.rs +0 -1207
  1356. data/ext/cargo-vendor/wit-parser-0.8.0/src/lib.rs +0 -622
  1357. data/ext/cargo-vendor/wit-parser-0.8.0/src/live.rs +0 -111
  1358. data/ext/cargo-vendor/wit-parser-0.8.0/src/resolve.rs +0 -1307
  1359. data/ext/cargo-vendor/wit-parser-0.8.0/src/sizealign.rs +0 -140
  1360. data/ext/cargo-vendor/wit-parser-0.8.0/tests/ui/parse-fail/bad-pkg1.wit.result +0 -8
  1361. data/ext/cargo-vendor/wit-parser-0.8.0/tests/ui/parse-fail/no-access-to-sibling-use.wit.result +0 -8
  1362. data/ext/cargo-vendor/wit-parser-0.8.0/tests/ui/parse-fail/unknown-interface.wit.result +0 -5
  1363. data/ext/cargo-vendor/wit-parser-0.8.0/tests/ui/parse-fail/unresolved-interface2.wit.result +0 -5
  1364. data/ext/cargo-vendor/wit-parser-0.8.0/tests/ui/parse-fail/unresolved-interface3.wit.result +0 -5
  1365. data/ext/cargo-vendor/wit-parser-0.8.0/tests/ui/parse-fail/unresolved-use1.wit.result +0 -5
  1366. data/ext/cargo-vendor/wit-parser-0.8.0/tests/ui/parse-fail/unresolved-use9.wit.result +0 -5
  1367. data/ext/cargo-vendor/wit-parser-0.8.0/tests/ui/parse-fail/use-cycle1.wit.result +0 -5
  1368. data/ext/cargo-vendor/wit-parser-0.8.0/tests/ui/parse-fail/use-cycle4.wit.result +0 -5
  1369. data/ext/cargo-vendor/wit-parser-0.8.0/tests/ui/parse-fail/world-interface-clash.wit.result +0 -5
  1370. data/ext/cargo-vendor/wit-parser-0.8.0/tests/ui/shared-types.wit +0 -10
  1371. data/ext/cargo-vendor/wit-parser-0.8.0/tests/ui/world-diamond.wit +0 -22
  1372. data/ext/cargo-vendor/wit-parser-0.8.0/tests/ui/world-same-fields4.wit +0 -13
  1373. /data/ext/cargo-vendor/{addr2line-0.19.0 → addr2line-0.20.0}/LICENSE-APACHE +0 -0
  1374. /data/ext/cargo-vendor/{addr2line-0.19.0 → addr2line-0.20.0}/LICENSE-MIT +0 -0
  1375. /data/ext/cargo-vendor/{addr2line-0.19.0 → addr2line-0.20.0}/README.md +0 -0
  1376. /data/ext/cargo-vendor/{addr2line-0.19.0 → addr2line-0.20.0}/bench.plot.r +0 -0
  1377. /data/ext/cargo-vendor/{addr2line-0.19.0 → addr2line-0.20.0}/benchmark.sh +0 -0
  1378. /data/ext/cargo-vendor/{addr2line-0.19.0 → addr2line-0.20.0}/coverage.sh +0 -0
  1379. /data/ext/cargo-vendor/{addr2line-0.19.0 → addr2line-0.20.0}/rustfmt.toml +0 -0
  1380. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/COPYRIGHT +0 -0
  1381. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/LICENSE-APACHE +0 -0
  1382. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/LICENSE-Apache-2.0_WITH_LLVM-exception +0 -0
  1383. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/LICENSE-MIT +0 -0
  1384. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/README.md +0 -0
  1385. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/build.rs +0 -0
  1386. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/src/dir_entry_ext.rs +0 -0
  1387. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/src/dir_ext.rs +0 -0
  1388. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/src/file_type_ext.rs +0 -0
  1389. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/src/lib.rs +0 -0
  1390. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/src/metadata_ext.rs +0 -0
  1391. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/src/open_options_follow_ext.rs +0 -0
  1392. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/src/open_options_maybe_dir_ext.rs +0 -0
  1393. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/src/open_options_sync_ext.rs +0 -0
  1394. /data/ext/cargo-vendor/{cap-fs-ext-1.0.15 → cap-fs-ext-2.0.0}/src/reopen.rs +0 -0
  1395. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/COPYRIGHT +0 -0
  1396. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/LICENSE-APACHE +0 -0
  1397. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/LICENSE-Apache-2.0_WITH_LLVM-exception +0 -0
  1398. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/LICENSE-MIT +0 -0
  1399. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/README.md +0 -0
  1400. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/build.rs +0 -0
  1401. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/assert_same_file.rs +0 -0
  1402. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/canonicalize.rs +0 -0
  1403. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/copy.rs +0 -0
  1404. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/create_dir.rs +0 -0
  1405. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/dir_builder.rs +0 -0
  1406. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/dir_entry.rs +0 -0
  1407. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/dir_options.rs +0 -0
  1408. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/errors.rs +0 -0
  1409. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/file_path_by_searching.rs +0 -0
  1410. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/file_type.rs +0 -0
  1411. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/follow_symlinks.rs +0 -0
  1412. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/hard_link.rs +0 -0
  1413. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/is_file_read_write.rs +0 -0
  1414. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/manually/canonical_path.rs +0 -0
  1415. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/manually/canonicalize.rs +0 -0
  1416. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/manually/cow_component.rs +0 -0
  1417. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/manually/mod.rs +0 -0
  1418. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/manually/open.rs +0 -0
  1419. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/manually/open_entry.rs +0 -0
  1420. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/manually/read_link_one.rs +0 -0
  1421. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/maybe_owned_file.rs +0 -0
  1422. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/metadata.rs +0 -0
  1423. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/open.rs +0 -0
  1424. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/open_ambient.rs +0 -0
  1425. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/open_dir.rs +0 -0
  1426. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/open_options.rs +0 -0
  1427. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/open_unchecked_error.rs +0 -0
  1428. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/permissions.rs +0 -0
  1429. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/read_dir.rs +0 -0
  1430. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/read_link.rs +0 -0
  1431. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/remove_dir.rs +0 -0
  1432. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/remove_dir_all.rs +0 -0
  1433. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/remove_file.rs +0 -0
  1434. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/remove_open_dir.rs +0 -0
  1435. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/rename.rs +0 -0
  1436. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/reopen.rs +0 -0
  1437. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/set_permissions.rs +0 -0
  1438. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/set_times.rs +0 -0
  1439. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/stat.rs +0 -0
  1440. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/symlink.rs +0 -0
  1441. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/system_time_spec.rs +0 -0
  1442. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/via_parent/create_dir.rs +0 -0
  1443. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/via_parent/hard_link.rs +0 -0
  1444. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/via_parent/mod.rs +0 -0
  1445. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/via_parent/open_parent.rs +0 -0
  1446. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/via_parent/read_link.rs +0 -0
  1447. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/via_parent/remove_dir.rs +0 -0
  1448. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/via_parent/remove_file.rs +0 -0
  1449. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/via_parent/rename.rs +0 -0
  1450. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/via_parent/set_permissions.rs +0 -0
  1451. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/via_parent/set_times_nofollow.rs +0 -0
  1452. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/fs/via_parent/symlink.rs +0 -0
  1453. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/lib.rs +0 -0
  1454. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/net/mod.rs +0 -0
  1455. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/darwin/fs/file_path.rs +0 -0
  1456. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/darwin/fs/mod.rs +0 -0
  1457. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/darwin/mod.rs +0 -0
  1458. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/create_dir_unchecked.rs +0 -0
  1459. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/cvt.rs +0 -0
  1460. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/dir_entry_inner.rs +0 -0
  1461. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/dir_options_ext.rs +0 -0
  1462. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/dir_utils.rs +0 -0
  1463. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/errors.rs +0 -0
  1464. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/file_path.rs +0 -0
  1465. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/file_type_ext.rs +0 -0
  1466. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/hard_link_unchecked.rs +0 -0
  1467. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/is_file_read_write_impl.rs +0 -0
  1468. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/is_root_dir.rs +0 -0
  1469. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/is_same_file.rs +0 -0
  1470. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/mod.rs +0 -0
  1471. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/open_options_ext.rs +0 -0
  1472. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/permissions_ext.rs +0 -0
  1473. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/read_dir_inner.rs +0 -0
  1474. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/read_link_unchecked.rs +0 -0
  1475. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/remove_dir_all_impl.rs +0 -0
  1476. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/remove_dir_unchecked.rs +0 -0
  1477. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/remove_file_unchecked.rs +0 -0
  1478. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/remove_open_dir_by_searching.rs +0 -0
  1479. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/rename_unchecked.rs +0 -0
  1480. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/set_times_impl.rs +0 -0
  1481. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/fs/symlink_unchecked.rs +0 -0
  1482. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/linux/fs/canonicalize_impl.rs +0 -0
  1483. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/linux/fs/file_metadata.rs +0 -0
  1484. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/linux/fs/file_path.rs +0 -0
  1485. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/linux/fs/mod.rs +0 -0
  1486. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/linux/fs/open_entry_impl.rs +0 -0
  1487. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/linux/fs/open_impl.rs +0 -0
  1488. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/linux/fs/set_permissions_impl.rs +0 -0
  1489. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/linux/fs/set_times_impl.rs +0 -0
  1490. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/linux/fs/stat_impl.rs +0 -0
  1491. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/linux/mod.rs +0 -0
  1492. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/rustix/mod.rs +0 -0
  1493. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/time/instant.rs +0 -0
  1494. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/time/mod.rs +0 -0
  1495. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/time/monotonic_clock.rs +0 -0
  1496. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/time/system_clock.rs +0 -0
  1497. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/time/system_time.rs +0 -0
  1498. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/copy.rs +0 -0
  1499. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/create_dir_unchecked.rs +0 -0
  1500. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/dir_entry_inner.rs +0 -0
  1501. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/dir_options_ext.rs +0 -0
  1502. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/dir_utils.rs +0 -0
  1503. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/errors.rs +0 -0
  1504. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/file_type_ext.rs +0 -0
  1505. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/get_path.rs +0 -0
  1506. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/hard_link_unchecked.rs +0 -0
  1507. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/is_file_read_write_impl.rs +0 -0
  1508. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/is_same_file.rs +0 -0
  1509. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/mod.rs +0 -0
  1510. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/oflags.rs +0 -0
  1511. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/open_impl.rs +0 -0
  1512. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/open_options_ext.rs +0 -0
  1513. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/read_dir_inner.rs +0 -0
  1514. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/read_link_impl.rs +0 -0
  1515. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/read_link_unchecked.rs +0 -0
  1516. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/remove_dir_all_impl.rs +0 -0
  1517. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/remove_dir_unchecked.rs +0 -0
  1518. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/remove_file_unchecked.rs +0 -0
  1519. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/remove_open_dir_impl.rs +0 -0
  1520. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/rename_unchecked.rs +0 -0
  1521. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/reopen_impl.rs +0 -0
  1522. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/set_permissions_unchecked.rs +0 -0
  1523. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/set_times_impl.rs +0 -0
  1524. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/stat_unchecked.rs +0 -0
  1525. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/fs/symlink_unchecked.rs +0 -0
  1526. /data/ext/cargo-vendor/{cap-primitives-1.0.15 → cap-primitives-2.0.0}/src/windows/mod.rs +0 -0
  1527. /data/ext/cargo-vendor/{cap-rand-1.0.15 → cap-rand-2.0.0}/COPYRIGHT +0 -0
  1528. /data/ext/cargo-vendor/{cap-rand-1.0.15 → cap-rand-2.0.0}/LICENSE-APACHE +0 -0
  1529. /data/ext/cargo-vendor/{cap-rand-1.0.15 → cap-rand-2.0.0}/LICENSE-Apache-2.0_WITH_LLVM-exception +0 -0
  1530. /data/ext/cargo-vendor/{cap-rand-1.0.15 → cap-rand-2.0.0}/LICENSE-MIT +0 -0
  1531. /data/ext/cargo-vendor/{cap-rand-1.0.15 → cap-rand-2.0.0}/README.md +0 -0
  1532. /data/ext/cargo-vendor/{cap-rand-1.0.15 → cap-rand-2.0.0}/src/lib.rs +0 -0
  1533. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/COPYRIGHT +0 -0
  1534. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/LICENSE-APACHE +0 -0
  1535. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/LICENSE-Apache-2.0_WITH_LLVM-exception +0 -0
  1536. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/LICENSE-MIT +0 -0
  1537. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/README.md +0 -0
  1538. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/fs/dir.rs +0 -0
  1539. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/fs/dir_entry.rs +0 -0
  1540. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/fs/mod.rs +0 -0
  1541. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/fs/read_dir.rs +0 -0
  1542. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/fs_utf8/dir.rs +0 -0
  1543. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/fs_utf8/dir_entry.rs +0 -0
  1544. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/fs_utf8/mod.rs +0 -0
  1545. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/fs_utf8/read_dir.rs +0 -0
  1546. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/net/incoming.rs +0 -0
  1547. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/net/mod.rs +0 -0
  1548. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/net/pool.rs +0 -0
  1549. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/net/tcp_listener.rs +0 -0
  1550. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/net/tcp_stream.rs +0 -0
  1551. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/os/mod.rs +0 -0
  1552. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/os/unix/mod.rs +0 -0
  1553. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/os/unix/net/incoming.rs +0 -0
  1554. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/os/unix/net/mod.rs +0 -0
  1555. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/os/unix/net/unix_datagram.rs +0 -0
  1556. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/os/unix/net/unix_listener.rs +0 -0
  1557. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/os/unix/net/unix_stream.rs +0 -0
  1558. /data/ext/cargo-vendor/{cap-std-1.0.15 → cap-std-2.0.0}/src/time/mod.rs +0 -0
  1559. /data/ext/cargo-vendor/{cap-time-ext-1.0.15 → cap-time-ext-2.0.0}/COPYRIGHT +0 -0
  1560. /data/ext/cargo-vendor/{cap-time-ext-1.0.15 → cap-time-ext-2.0.0}/LICENSE-APACHE +0 -0
  1561. /data/ext/cargo-vendor/{cap-time-ext-1.0.15 → cap-time-ext-2.0.0}/LICENSE-Apache-2.0_WITH_LLVM-exception +0 -0
  1562. /data/ext/cargo-vendor/{cap-time-ext-1.0.15 → cap-time-ext-2.0.0}/LICENSE-MIT +0 -0
  1563. /data/ext/cargo-vendor/{cap-time-ext-1.0.15 → cap-time-ext-2.0.0}/README.md +0 -0
  1564. /data/ext/cargo-vendor/{cap-time-ext-1.0.15 → cap-time-ext-2.0.0}/src/lib.rs +0 -0
  1565. /data/ext/cargo-vendor/{cranelift-bforest-0.98.1 → cranelift-bforest-0.99.1}/LICENSE +0 -0
  1566. /data/ext/cargo-vendor/{cranelift-bforest-0.98.1 → cranelift-bforest-0.99.1}/README.md +0 -0
  1567. /data/ext/cargo-vendor/{cranelift-bforest-0.98.1 → cranelift-bforest-0.99.1}/src/lib.rs +0 -0
  1568. /data/ext/cargo-vendor/{cranelift-bforest-0.98.1 → cranelift-bforest-0.99.1}/src/map.rs +0 -0
  1569. /data/ext/cargo-vendor/{cranelift-bforest-0.98.1 → cranelift-bforest-0.99.1}/src/node.rs +0 -0
  1570. /data/ext/cargo-vendor/{cranelift-bforest-0.98.1 → cranelift-bforest-0.99.1}/src/path.rs +0 -0
  1571. /data/ext/cargo-vendor/{cranelift-bforest-0.98.1 → cranelift-bforest-0.99.1}/src/pool.rs +0 -0
  1572. /data/ext/cargo-vendor/{cranelift-bforest-0.98.1 → cranelift-bforest-0.99.1}/src/set.rs +0 -0
  1573. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/LICENSE +0 -0
  1574. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/README.md +0 -0
  1575. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/benches/x64-evex-encoding.rs +0 -0
  1576. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/build.rs +0 -0
  1577. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/alias_analysis.rs +0 -0
  1578. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/binemit/mod.rs +0 -0
  1579. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/binemit/stack_map.rs +0 -0
  1580. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/bitset.rs +0 -0
  1581. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/cfg_printer.rs +0 -0
  1582. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/constant_hash.rs +0 -0
  1583. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/context.rs +0 -0
  1584. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ctxhash.rs +0 -0
  1585. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/data_value.rs +0 -0
  1586. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/dbg.rs +0 -0
  1587. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/dce.rs +0 -0
  1588. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/dominator_tree.rs +0 -0
  1589. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/egraph/cost.rs +0 -0
  1590. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/egraph/domtree.rs +0 -0
  1591. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/egraph/elaborate.rs +0 -0
  1592. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/egraph.rs +0 -0
  1593. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/flowgraph.rs +0 -0
  1594. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/fx.rs +0 -0
  1595. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/incremental_cache.rs +0 -0
  1596. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/inst_predicates.rs +0 -0
  1597. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/atomic_rmw_op.rs +0 -0
  1598. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/builder.rs +0 -0
  1599. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/condcodes.rs +0 -0
  1600. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/constant.rs +0 -0
  1601. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/dfg.rs +0 -0
  1602. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/dynamic_type.rs +0 -0
  1603. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/entities.rs +0 -0
  1604. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/extname.rs +0 -0
  1605. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/immediates.rs +0 -0
  1606. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/instructions.rs +0 -0
  1607. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/jumptable.rs +0 -0
  1608. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/known_symbol.rs +0 -0
  1609. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/layout.rs +0 -0
  1610. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/libcall.rs +0 -0
  1611. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/memflags.rs +0 -0
  1612. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/progpoint.rs +0 -0
  1613. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/sourceloc.rs +0 -0
  1614. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/stackslot.rs +0 -0
  1615. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/table.rs +0 -0
  1616. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/trapcode.rs +0 -0
  1617. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/ir/types.rs +0 -0
  1618. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/aarch64/inst/args.rs +0 -0
  1619. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/aarch64/inst/emit_tests.rs +0 -0
  1620. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/aarch64/inst/imms.rs +0 -0
  1621. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/aarch64/inst/regs.rs +0 -0
  1622. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/aarch64/inst/unwind/systemv.rs +0 -0
  1623. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/aarch64/inst/unwind.rs +0 -0
  1624. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/aarch64/inst_neon.isle +0 -0
  1625. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/aarch64/lower/isle/generated_code.rs +0 -0
  1626. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/aarch64/lower.rs +0 -0
  1627. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/aarch64/lower_dynamic_neon.isle +0 -0
  1628. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/aarch64/mod.rs +0 -0
  1629. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/aarch64/settings.rs +0 -0
  1630. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/call_conv.rs +0 -0
  1631. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/mod.rs +0 -0
  1632. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/riscv64/inst/args.rs +0 -0
  1633. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/riscv64/inst/emit_tests.rs +0 -0
  1634. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/riscv64/inst/encode.rs +0 -0
  1635. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/riscv64/inst/imms.rs +0 -0
  1636. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/riscv64/inst/regs.rs +0 -0
  1637. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/riscv64/inst/unwind/systemv.rs +0 -0
  1638. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/riscv64/inst/unwind.rs +0 -0
  1639. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/riscv64/inst/vector.rs +0 -0
  1640. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/riscv64/inst_vector.isle +0 -0
  1641. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/riscv64/lower/isle/generated_code.rs +0 -0
  1642. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/riscv64/lower.rs +0 -0
  1643. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/riscv64/mod.rs +0 -0
  1644. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/riscv64/settings.rs +0 -0
  1645. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/abi.rs +0 -0
  1646. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/inst/args.rs +0 -0
  1647. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/inst/emit.rs +0 -0
  1648. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/inst/emit_tests.rs +0 -0
  1649. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/inst/imms.rs +0 -0
  1650. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/inst/mod.rs +0 -0
  1651. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/inst/regs.rs +0 -0
  1652. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/inst/unwind/systemv.rs +0 -0
  1653. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/inst/unwind.rs +0 -0
  1654. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/inst.isle +0 -0
  1655. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/lower/isle/generated_code.rs +0 -0
  1656. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/lower/isle.rs +0 -0
  1657. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/lower.isle +0 -0
  1658. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/lower.rs +0 -0
  1659. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/mod.rs +0 -0
  1660. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/s390x/settings.rs +0 -0
  1661. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/unwind/systemv.rs +0 -0
  1662. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/unwind/winx64.rs +0 -0
  1663. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/unwind.rs +0 -0
  1664. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/encoding/evex.rs +0 -0
  1665. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/encoding/mod.rs +0 -0
  1666. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/encoding/rex.rs +0 -0
  1667. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/encoding/vex.rs +0 -0
  1668. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/inst/args.rs +0 -0
  1669. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/inst/emit.rs +0 -0
  1670. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/inst/emit_state.rs +0 -0
  1671. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/inst/emit_tests.rs +0 -0
  1672. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/inst/mod.rs +0 -0
  1673. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/inst/regs.rs +0 -0
  1674. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/inst/unwind/systemv.rs +0 -0
  1675. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/inst/unwind/winx64.rs +0 -0
  1676. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/inst/unwind.rs +0 -0
  1677. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/inst.isle +0 -0
  1678. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/lower/isle/generated_code.rs +0 -0
  1679. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/lower/isle.rs +0 -0
  1680. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/lower.rs +0 -0
  1681. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/mod.rs +0 -0
  1682. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isa/x64/settings.rs +0 -0
  1683. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/isle_prelude.rs +0 -0
  1684. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/iterators.rs +0 -0
  1685. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/legalizer/globalvalue.rs +0 -0
  1686. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/legalizer/mod.rs +0 -0
  1687. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/legalizer/table.rs +0 -0
  1688. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/loop_analysis.rs +0 -0
  1689. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/machinst/blockorder.rs +0 -0
  1690. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/machinst/compile.rs +0 -0
  1691. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/machinst/helpers.rs +0 -0
  1692. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/machinst/inst_common.rs +0 -0
  1693. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/machinst/mod.rs +0 -0
  1694. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/machinst/reg.rs +0 -0
  1695. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/machinst/valueregs.rs +0 -0
  1696. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/nan_canonicalization.rs +0 -0
  1697. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/opts/README.md +0 -0
  1698. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/opts/arithmetic.isle +0 -0
  1699. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/opts/bitops.isle +0 -0
  1700. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/opts/extends.isle +0 -0
  1701. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/opts/generated_code.rs +0 -0
  1702. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/opts/remat.isle +0 -0
  1703. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/opts/selects.isle +0 -0
  1704. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/opts/shifts.isle +0 -0
  1705. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/opts/vector.isle +0 -0
  1706. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/opts.rs +0 -0
  1707. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/prelude.isle +0 -0
  1708. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/prelude_lower.isle +0 -0
  1709. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/prelude_opt.isle +0 -0
  1710. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/print_errors.rs +0 -0
  1711. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/remove_constant_phis.rs +0 -0
  1712. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/result.rs +0 -0
  1713. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/scoped_hash_map.rs +0 -0
  1714. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/settings.rs +0 -0
  1715. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/souper_harvest.rs +0 -0
  1716. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/timing.rs +0 -0
  1717. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/unionfind.rs +0 -0
  1718. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/unreachable_code.rs +0 -0
  1719. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/verifier/mod.rs +0 -0
  1720. /data/ext/cargo-vendor/{cranelift-codegen-0.98.1 → cranelift-codegen-0.99.1}/src/write.rs +0 -0
  1721. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/LICENSE +0 -0
  1722. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/README.md +0 -0
  1723. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/cdsl/formats.rs +0 -0
  1724. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/cdsl/instructions.rs +0 -0
  1725. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/cdsl/isa.rs +0 -0
  1726. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/cdsl/mod.rs +0 -0
  1727. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/cdsl/operands.rs +0 -0
  1728. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/cdsl/settings.rs +0 -0
  1729. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/cdsl/types.rs +0 -0
  1730. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/cdsl/typevar.rs +0 -0
  1731. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/constant_hash.rs +0 -0
  1732. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/error.rs +0 -0
  1733. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/gen_settings.rs +0 -0
  1734. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/gen_types.rs +0 -0
  1735. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/shared/entities.rs +0 -0
  1736. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/shared/formats.rs +0 -0
  1737. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/shared/immediates.rs +0 -0
  1738. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/shared/instructions.rs +0 -0
  1739. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/shared/settings.rs +0 -0
  1740. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/shared/types.rs +0 -0
  1741. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/srcgen.rs +0 -0
  1742. /data/ext/cargo-vendor/{cranelift-codegen-meta-0.98.1 → cranelift-codegen-meta-0.99.1}/src/unique_table.rs +0 -0
  1743. /data/ext/cargo-vendor/{cranelift-codegen-shared-0.98.1 → cranelift-codegen-shared-0.99.1}/LICENSE +0 -0
  1744. /data/ext/cargo-vendor/{cranelift-codegen-shared-0.98.1 → cranelift-codegen-shared-0.99.1}/README.md +0 -0
  1745. /data/ext/cargo-vendor/{cranelift-codegen-shared-0.98.1 → cranelift-codegen-shared-0.99.1}/src/constant_hash.rs +0 -0
  1746. /data/ext/cargo-vendor/{cranelift-codegen-shared-0.98.1 → cranelift-codegen-shared-0.99.1}/src/constants.rs +0 -0
  1747. /data/ext/cargo-vendor/{cranelift-codegen-shared-0.98.1 → cranelift-codegen-shared-0.99.1}/src/lib.rs +0 -0
  1748. /data/ext/cargo-vendor/{cranelift-control-0.98.1 → cranelift-control-0.99.1}/LICENSE +0 -0
  1749. /data/ext/cargo-vendor/{cranelift-control-0.98.1 → cranelift-control-0.99.1}/README.md +0 -0
  1750. /data/ext/cargo-vendor/{cranelift-control-0.98.1 → cranelift-control-0.99.1}/src/chaos.rs +0 -0
  1751. /data/ext/cargo-vendor/{cranelift-control-0.98.1 → cranelift-control-0.99.1}/src/lib.rs +0 -0
  1752. /data/ext/cargo-vendor/{cranelift-control-0.98.1 → cranelift-control-0.99.1}/src/zero_sized.rs +0 -0
  1753. /data/ext/cargo-vendor/{cranelift-entity-0.98.1 → cranelift-entity-0.99.1}/LICENSE +0 -0
  1754. /data/ext/cargo-vendor/{cranelift-entity-0.98.1 → cranelift-entity-0.99.1}/README.md +0 -0
  1755. /data/ext/cargo-vendor/{cranelift-entity-0.98.1 → cranelift-entity-0.99.1}/src/boxed_slice.rs +0 -0
  1756. /data/ext/cargo-vendor/{cranelift-entity-0.98.1 → cranelift-entity-0.99.1}/src/iter.rs +0 -0
  1757. /data/ext/cargo-vendor/{cranelift-entity-0.98.1 → cranelift-entity-0.99.1}/src/keys.rs +0 -0
  1758. /data/ext/cargo-vendor/{cranelift-entity-0.98.1 → cranelift-entity-0.99.1}/src/lib.rs +0 -0
  1759. /data/ext/cargo-vendor/{cranelift-entity-0.98.1 → cranelift-entity-0.99.1}/src/list.rs +0 -0
  1760. /data/ext/cargo-vendor/{cranelift-entity-0.98.1 → cranelift-entity-0.99.1}/src/map.rs +0 -0
  1761. /data/ext/cargo-vendor/{cranelift-entity-0.98.1 → cranelift-entity-0.99.1}/src/packed_option.rs +0 -0
  1762. /data/ext/cargo-vendor/{cranelift-entity-0.98.1 → cranelift-entity-0.99.1}/src/primary.rs +0 -0
  1763. /data/ext/cargo-vendor/{cranelift-entity-0.98.1 → cranelift-entity-0.99.1}/src/set.rs +0 -0
  1764. /data/ext/cargo-vendor/{cranelift-entity-0.98.1 → cranelift-entity-0.99.1}/src/sparse.rs +0 -0
  1765. /data/ext/cargo-vendor/{cranelift-frontend-0.98.1 → cranelift-frontend-0.99.1}/LICENSE +0 -0
  1766. /data/ext/cargo-vendor/{cranelift-frontend-0.98.1 → cranelift-frontend-0.99.1}/README.md +0 -0
  1767. /data/ext/cargo-vendor/{cranelift-frontend-0.98.1 → cranelift-frontend-0.99.1}/src/frontend.rs +0 -0
  1768. /data/ext/cargo-vendor/{cranelift-frontend-0.98.1 → cranelift-frontend-0.99.1}/src/ssa.rs +0 -0
  1769. /data/ext/cargo-vendor/{cranelift-frontend-0.98.1 → cranelift-frontend-0.99.1}/src/switch.rs +0 -0
  1770. /data/ext/cargo-vendor/{cranelift-frontend-0.98.1 → cranelift-frontend-0.99.1}/src/variable.rs +0 -0
  1771. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/README.md +0 -0
  1772. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/build.rs +0 -0
  1773. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/fail/bad_converters.isle +0 -0
  1774. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/fail/bound_var_type_mismatch.isle +0 -0
  1775. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/fail/converter_extractor_constructor.isle +0 -0
  1776. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/fail/error1.isle +0 -0
  1777. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/fail/extra_parens.isle +0 -0
  1778. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/fail/impure_expression.isle +0 -0
  1779. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/fail/impure_rhs.isle +0 -0
  1780. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/fail/multi_internal_etor.isle +0 -0
  1781. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/fail/multi_prio.isle +0 -0
  1782. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/link/borrows.isle +0 -0
  1783. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/link/borrows_main.rs +0 -0
  1784. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/link/iflets.isle +0 -0
  1785. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/link/iflets_main.rs +0 -0
  1786. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/link/multi_constructor.isle +0 -0
  1787. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/link/multi_constructor_main.rs +0 -0
  1788. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/link/multi_extractor.isle +0 -0
  1789. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/link/multi_extractor_main.rs +0 -0
  1790. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/link/test.isle +0 -0
  1791. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/link/test_main.rs +0 -0
  1792. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/pass/bound_var.isle +0 -0
  1793. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/pass/construct_and_extract.isle +0 -0
  1794. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/pass/conversions.isle +0 -0
  1795. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/pass/conversions_extern.isle +0 -0
  1796. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/pass/let.isle +0 -0
  1797. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/pass/nodebug.isle +0 -0
  1798. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/pass/prio_trie_bug.isle +0 -0
  1799. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/pass/test2.isle +0 -0
  1800. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/pass/test3.isle +0 -0
  1801. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/pass/test4.isle +0 -0
  1802. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/pass/tutorial.isle +0 -0
  1803. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/run/iconst.isle +0 -0
  1804. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/run/iconst_main.rs +0 -0
  1805. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/run/let_shadowing.isle +0 -0
  1806. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/isle_examples/run/let_shadowing_main.rs +0 -0
  1807. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/src/ast.rs +0 -0
  1808. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/src/codegen.rs +0 -0
  1809. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/src/compile.rs +0 -0
  1810. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/src/error.rs +0 -0
  1811. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/src/lexer.rs +0 -0
  1812. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/src/lib.rs +0 -0
  1813. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/src/log.rs +0 -0
  1814. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/src/overlap.rs +0 -0
  1815. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/src/parser.rs +0 -0
  1816. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/src/sema.rs +0 -0
  1817. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/src/serialize.rs +0 -0
  1818. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/src/trie_again.rs +0 -0
  1819. /data/ext/cargo-vendor/{cranelift-isle-0.98.1 → cranelift-isle-0.99.1}/tests/run_tests.rs +0 -0
  1820. /data/ext/cargo-vendor/{cranelift-native-0.98.1 → cranelift-native-0.99.1}/LICENSE +0 -0
  1821. /data/ext/cargo-vendor/{cranelift-native-0.98.1 → cranelift-native-0.99.1}/README.md +0 -0
  1822. /data/ext/cargo-vendor/{cranelift-native-0.98.1 → cranelift-native-0.99.1}/src/lib.rs +0 -0
  1823. /data/ext/cargo-vendor/{cranelift-native-0.98.1 → cranelift-native-0.99.1}/src/riscv.rs +0 -0
  1824. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/LICENSE +0 -0
  1825. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/README.md +0 -0
  1826. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/src/code_translator/bounds_checks.rs +0 -0
  1827. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/src/environ/mod.rs +0 -0
  1828. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/src/heap.rs +0 -0
  1829. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/src/lib.rs +0 -0
  1830. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/src/module_translator.rs +0 -0
  1831. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/src/state.rs +0 -0
  1832. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/src/translation_utils.rs +0 -0
  1833. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/arith.wat +0 -0
  1834. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/br_table.wat +0 -0
  1835. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/call-simd.wat +0 -0
  1836. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/call.wat +0 -0
  1837. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/embenchen_fannkuch.wat +0 -0
  1838. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/embenchen_fasta.wat +0 -0
  1839. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/embenchen_ifs.wat +0 -0
  1840. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/embenchen_primes.wat +0 -0
  1841. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/fac-multi-value.wat +0 -0
  1842. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/fibonacci.wat +0 -0
  1843. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/globals.wat +0 -0
  1844. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/icall-simd.wat +0 -0
  1845. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/icall.wat +0 -0
  1846. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/if-reachability-translation-0.wat +0 -0
  1847. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/if-reachability-translation-1.wat +0 -0
  1848. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/if-reachability-translation-2.wat +0 -0
  1849. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/if-reachability-translation-3.wat +0 -0
  1850. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/if-reachability-translation-4.wat +0 -0
  1851. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/if-reachability-translation-5.wat +0 -0
  1852. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/if-reachability-translation-6.wat +0 -0
  1853. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/if-unreachable-else-params-2.wat +0 -0
  1854. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/if-unreachable-else-params.wat +0 -0
  1855. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/issue-1306-name-section-with-u32-max-function-index.wasm +0 -0
  1856. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/memory.wat +0 -0
  1857. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-0.wat +0 -0
  1858. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-1.wat +0 -0
  1859. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-10.wat +0 -0
  1860. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-11.wat +0 -0
  1861. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-12.wat +0 -0
  1862. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-13.wat +0 -0
  1863. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-14.wat +0 -0
  1864. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-15.wat +0 -0
  1865. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-16.wat +0 -0
  1866. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-17.wat +0 -0
  1867. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-2.wat +0 -0
  1868. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-3.wat +0 -0
  1869. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-4.wat +0 -0
  1870. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-5.wat +0 -0
  1871. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-6.wat +0 -0
  1872. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-7.wat +0 -0
  1873. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-8.wat +0 -0
  1874. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/multi-9.wat +0 -0
  1875. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/nullref.wat +0 -0
  1876. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/passive-data.wat +0 -0
  1877. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/pr2303.wat +0 -0
  1878. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/pr2559.wat +0 -0
  1879. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/ref-func-0.wat +0 -0
  1880. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/rust_fannkuch.wat +0 -0
  1881. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/select.wat +0 -0
  1882. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/simd-store.wat +0 -0
  1883. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/simd.wat +0 -0
  1884. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/table-copy.wat +0 -0
  1885. /data/ext/cargo-vendor/{cranelift-wasm-0.98.1 → cranelift-wasm-0.99.1}/wasmtests/unreachable_code.wat +0 -0
  1886. /data/ext/cargo-vendor/{fs-set-times-0.19.2 → fs-set-times-0.20.0}/CODE_OF_CONDUCT.md +0 -0
  1887. /data/ext/cargo-vendor/{fs-set-times-0.19.2 → fs-set-times-0.20.0}/COPYRIGHT +0 -0
  1888. /data/ext/cargo-vendor/{fs-set-times-0.19.2 → fs-set-times-0.20.0}/LICENSE-APACHE +0 -0
  1889. /data/ext/cargo-vendor/{fs-set-times-0.19.2 → fs-set-times-0.20.0}/LICENSE-Apache-2.0_WITH_LLVM-exception +0 -0
  1890. /data/ext/cargo-vendor/{fs-set-times-0.19.2 → fs-set-times-0.20.0}/LICENSE-MIT +0 -0
  1891. /data/ext/cargo-vendor/{fs-set-times-0.19.2 → fs-set-times-0.20.0}/ORG_CODE_OF_CONDUCT.md +0 -0
  1892. /data/ext/cargo-vendor/{fs-set-times-0.19.2 → fs-set-times-0.20.0}/README.md +0 -0
  1893. /data/ext/cargo-vendor/{fs-set-times-0.19.2 → fs-set-times-0.20.0}/SECURITY.md +0 -0
  1894. /data/ext/cargo-vendor/{fs-set-times-0.19.2 → fs-set-times-0.20.0}/src/lib.rs +0 -0
  1895. /data/ext/cargo-vendor/{fs-set-times-0.19.2 → fs-set-times-0.20.0}/src/set_times.rs +0 -0
  1896. /data/ext/cargo-vendor/{fs-set-times-0.19.2 → fs-set-times-0.20.0}/src/system_time_spec.rs +0 -0
  1897. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/CODE_OF_CONDUCT.md +0 -0
  1898. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/COPYRIGHT +0 -0
  1899. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/LICENSE-APACHE +0 -0
  1900. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/LICENSE-Apache-2.0_WITH_LLVM-exception +0 -0
  1901. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/LICENSE-MIT +0 -0
  1902. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/ORG_CODE_OF_CONDUCT.md +0 -0
  1903. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/SECURITY.md +0 -0
  1904. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/src/borrowed.rs +0 -0
  1905. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/src/grip.rs +0 -0
  1906. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/src/lib.rs +0 -0
  1907. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/src/os/mod.rs +0 -0
  1908. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/src/os/rustix.rs +0 -0
  1909. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/src/os/windows/mod.rs +0 -0
  1910. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/src/os/windows/traits.rs +0 -0
  1911. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/src/os/windows/types.rs +0 -0
  1912. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/src/owned.rs +0 -0
  1913. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/src/raw.rs +0 -0
  1914. /data/ext/cargo-vendor/{io-extras-0.17.4 → io-extras-0.18.0}/src/read_write.rs +0 -0
  1915. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/LICENSE-APACHE +0 -0
  1916. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/LICENSE-MIT +0 -0
  1917. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/archive.rs +0 -0
  1918. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/pod.rs +0 -0
  1919. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/read/archive.rs +0 -0
  1920. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/read/coff/mod.rs +0 -0
  1921. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/read/elf/compression.rs +0 -0
  1922. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/read/elf/dynamic.rs +0 -0
  1923. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/read/elf/relocation.rs +0 -0
  1924. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/read/macho/fat.rs +0 -0
  1925. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/read/macho/mod.rs +0 -0
  1926. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/read/pe/export.rs +0 -0
  1927. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/read/pe/import.rs +0 -0
  1928. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/read/pe/mod.rs +0 -0
  1929. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/read/pe/relocation.rs +0 -0
  1930. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/read/xcoff/file.rs +0 -0
  1931. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/read/xcoff/mod.rs +0 -0
  1932. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/write/elf/mod.rs +0 -0
  1933. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/src/write/pe.rs +0 -0
  1934. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/tests/integration.rs +0 -0
  1935. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/tests/parse_self.rs +0 -0
  1936. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/tests/read/mod.rs +0 -0
  1937. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/tests/round_trip/bss.rs +0 -0
  1938. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/tests/round_trip/coff.rs +0 -0
  1939. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/tests/round_trip/comdat.rs +0 -0
  1940. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/tests/round_trip/common.rs +0 -0
  1941. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/tests/round_trip/section_flags.rs +0 -0
  1942. /data/ext/cargo-vendor/{object-0.30.4 → object-0.31.1}/tests/round_trip/tls.rs +0 -0
  1943. /data/ext/cargo-vendor/{pulldown-cmark-0.8.0 → pulldown-cmark-0.9.3}/LICENSE +0 -0
  1944. /data/ext/cargo-vendor/{pulldown-cmark-0.8.0 → pulldown-cmark-0.9.3}/src/entities.rs +0 -0
  1945. /data/ext/cargo-vendor/{pulldown-cmark-0.8.0 → pulldown-cmark-0.9.3}/tests/suite/footnotes.rs +0 -0
  1946. /data/ext/cargo-vendor/{pulldown-cmark-0.8.0 → pulldown-cmark-0.9.3}/tests/suite/gfm_tasklist.rs +0 -0
  1947. /data/ext/cargo-vendor/{pulldown-cmark-0.8.0 → pulldown-cmark-0.9.3}/tests/suite/smart_punct.rs +0 -0
  1948. /data/ext/cargo-vendor/{pulldown-cmark-0.8.0 → pulldown-cmark-0.9.3}/tests/suite/table.rs +0 -0
  1949. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/CODE_OF_CONDUCT.md +0 -0
  1950. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/COPYRIGHT +0 -0
  1951. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/LICENSE-APACHE +0 -0
  1952. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/LICENSE-Apache-2.0_WITH_LLVM-exception +0 -0
  1953. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/LICENSE-MIT +0 -0
  1954. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/ORG_CODE_OF_CONDUCT.md +0 -0
  1955. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/README.md +0 -0
  1956. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/SECURITY.md +0 -0
  1957. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/src/fs/fd_flags.rs +0 -0
  1958. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/src/fs/mod.rs +0 -0
  1959. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/src/io/io_ext.rs +0 -0
  1960. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/src/io/is_read_write.rs +0 -0
  1961. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/src/io/mod.rs +0 -0
  1962. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/src/io/peek.rs +0 -0
  1963. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/src/io/read_ready.rs +0 -0
  1964. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/src/lib.rs +0 -0
  1965. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/tests/allocate.rs +0 -0
  1966. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/tests/append.rs +0 -0
  1967. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/tests/fd_flags.rs +0 -0
  1968. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/tests/is_read_write.rs +0 -0
  1969. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/tests/live_rename.rs +0 -0
  1970. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/tests/peek.rs +0 -0
  1971. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/tests/read_ready.rs +0 -0
  1972. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/tests/read_to_end.rs +0 -0
  1973. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/tests/sys_common/io.rs +0 -0
  1974. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/tests/sys_common/mod.rs +0 -0
  1975. /data/ext/cargo-vendor/{system-interface-0.25.9 → system-interface-0.26.0}/tests/vectored_at.rs +0 -0
  1976. /data/ext/cargo-vendor/{wasi-cap-std-sync-11.0.0 → wasi-cap-std-sync-12.0.0}/LICENSE +0 -0
  1977. /data/ext/cargo-vendor/{wasi-cap-std-sync-11.0.0 → wasi-cap-std-sync-12.0.0}/README.md +0 -0
  1978. /data/ext/cargo-vendor/{wasi-cap-std-sync-11.0.0 → wasi-cap-std-sync-12.0.0}/src/clocks.rs +0 -0
  1979. /data/ext/cargo-vendor/{wasi-cap-std-sync-11.0.0 → wasi-cap-std-sync-12.0.0}/src/dir.rs +0 -0
  1980. /data/ext/cargo-vendor/{wasi-cap-std-sync-11.0.0 → wasi-cap-std-sync-12.0.0}/src/file.rs +0 -0
  1981. /data/ext/cargo-vendor/{wasi-cap-std-sync-11.0.0 → wasi-cap-std-sync-12.0.0}/src/lib.rs +0 -0
  1982. /data/ext/cargo-vendor/{wasi-cap-std-sync-11.0.0 → wasi-cap-std-sync-12.0.0}/src/net.rs +0 -0
  1983. /data/ext/cargo-vendor/{wasi-cap-std-sync-11.0.0 → wasi-cap-std-sync-12.0.0}/src/sched/windows.rs +0 -0
  1984. /data/ext/cargo-vendor/{wasi-cap-std-sync-11.0.0 → wasi-cap-std-sync-12.0.0}/src/sched.rs +0 -0
  1985. /data/ext/cargo-vendor/{wasi-cap-std-sync-11.0.0 → wasi-cap-std-sync-12.0.0}/src/stdio.rs +0 -0
  1986. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/LICENSE +0 -0
  1987. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/README.md +0 -0
  1988. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/README.md +0 -0
  1989. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/docs/README.md +0 -0
  1990. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/README.md +0 -0
  1991. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/ephemeral/docs.md +0 -0
  1992. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/ephemeral/witx/typenames.witx +0 -0
  1993. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/ephemeral/witx/wasi_ephemeral_args.witx +0 -0
  1994. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/ephemeral/witx/wasi_ephemeral_clock.witx +0 -0
  1995. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/ephemeral/witx/wasi_ephemeral_environ.witx +0 -0
  1996. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/ephemeral/witx/wasi_ephemeral_fd.witx +0 -0
  1997. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/ephemeral/witx/wasi_ephemeral_path.witx +0 -0
  1998. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/ephemeral/witx/wasi_ephemeral_poll.witx +0 -0
  1999. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/ephemeral/witx/wasi_ephemeral_proc.witx +0 -0
  2000. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/ephemeral/witx/wasi_ephemeral_random.witx +0 -0
  2001. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/ephemeral/witx/wasi_ephemeral_sched.witx +0 -0
  2002. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/ephemeral/witx/wasi_ephemeral_sock.witx +0 -0
  2003. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/old/snapshot_0/docs.md +0 -0
  2004. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/old/snapshot_0/witx/typenames.witx +0 -0
  2005. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/old/snapshot_0/witx/wasi_unstable.witx +0 -0
  2006. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/snapshot/docs.html +0 -0
  2007. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/snapshot/docs.md +0 -0
  2008. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/snapshot/witx/typenames.witx +0 -0
  2009. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/phases/snapshot/witx/wasi_snapshot_preview1.witx +0 -0
  2010. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/proposal-template/README.md +0 -0
  2011. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/proposals/README.md +0 -0
  2012. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/snapshots/README.md +0 -0
  2013. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/WASI/standard/README.md +0 -0
  2014. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/build.rs +0 -0
  2015. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/src/clocks.rs +0 -0
  2016. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/src/ctx.rs +0 -0
  2017. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/src/dir.rs +0 -0
  2018. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/src/error.rs +0 -0
  2019. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/src/lib.rs +0 -0
  2020. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/src/pipe.rs +0 -0
  2021. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/src/random.rs +0 -0
  2022. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/src/sched.rs +0 -0
  2023. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/src/snapshots/mod.rs +0 -0
  2024. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/src/snapshots/preview_0.rs +0 -0
  2025. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/src/snapshots/preview_1.rs +0 -0
  2026. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/src/string_array.rs +0 -0
  2027. /data/ext/cargo-vendor/{wasi-common-11.0.0 → wasi-common-12.0.0}/src/table.rs +0 -0
  2028. /data/ext/cargo-vendor/{wasm-encoder-0.29.0 → wasmparser-0.110.0}/LICENSE +0 -0
  2029. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/README.md +0 -0
  2030. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/examples/simple.rs +0 -0
  2031. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/binary_reader.rs +0 -0
  2032. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/component/aliases.rs +0 -0
  2033. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/component/exports.rs +0 -0
  2034. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/component/imports.rs +0 -0
  2035. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/component/instances.rs +0 -0
  2036. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/component/names.rs +0 -0
  2037. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/component/start.rs +0 -0
  2038. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/component.rs +0 -0
  2039. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/code.rs +0 -0
  2040. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/coredumps.rs +0 -0
  2041. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/custom.rs +0 -0
  2042. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/data.rs +0 -0
  2043. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/exports.rs +0 -0
  2044. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/functions.rs +0 -0
  2045. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/globals.rs +0 -0
  2046. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/imports.rs +0 -0
  2047. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/init.rs +0 -0
  2048. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/memories.rs +0 -0
  2049. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/names.rs +0 -0
  2050. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/operators.rs +0 -0
  2051. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/producers.rs +0 -0
  2052. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/tables.rs +0 -0
  2053. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core/tags.rs +0 -0
  2054. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers/core.rs +0 -0
  2055. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/readers.rs +0 -0
  2056. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/resources.rs +0 -0
  2057. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/validator/func.rs +0 -0
  2058. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmparser-0.110.0}/src/validator/names.rs +0 -0
  2059. /data/ext/cargo-vendor/{wasmparser-0.107.0 → wasmtime-12.0.0}/LICENSE +0 -0
  2060. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/code.rs +0 -0
  2061. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/component/storage.rs +0 -0
  2062. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/component/store.rs +0 -0
  2063. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/engine.rs +0 -0
  2064. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/func/typed.rs +0 -0
  2065. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/limits.rs +0 -0
  2066. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/linker.rs +0 -0
  2067. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/profiling.rs +0 -0
  2068. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/ref.rs +0 -0
  2069. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/signatures.rs +0 -0
  2070. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/store/context.rs +0 -0
  2071. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/trampoline/func.rs +0 -0
  2072. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/trampoline/global.rs +0 -0
  2073. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/trampoline/memory.rs +0 -0
  2074. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/trampoline/table.rs +0 -0
  2075. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/trampoline.rs +0 -0
  2076. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/types/matching.rs +0 -0
  2077. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/types.rs +0 -0
  2078. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/unix.rs +0 -0
  2079. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/values.rs +0 -0
  2080. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-12.0.0}/src/windows.rs +0 -0
  2081. /data/ext/cargo-vendor/{wasmtime-asm-macros-11.0.0 → wasmtime-asm-macros-12.0.0}/src/lib.rs +0 -0
  2082. /data/ext/cargo-vendor/{wasmtime-11.0.0 → wasmtime-cache-12.0.0}/LICENSE +0 -0
  2083. /data/ext/cargo-vendor/{wasmtime-cache-11.0.0 → wasmtime-cache-12.0.0}/build.rs +0 -0
  2084. /data/ext/cargo-vendor/{wasmtime-cache-11.0.0 → wasmtime-cache-12.0.0}/src/config/tests.rs +0 -0
  2085. /data/ext/cargo-vendor/{wasmtime-cache-11.0.0 → wasmtime-cache-12.0.0}/src/config.rs +0 -0
  2086. /data/ext/cargo-vendor/{wasmtime-cache-11.0.0 → wasmtime-cache-12.0.0}/src/lib.rs +0 -0
  2087. /data/ext/cargo-vendor/{wasmtime-cache-11.0.0 → wasmtime-cache-12.0.0}/src/tests.rs +0 -0
  2088. /data/ext/cargo-vendor/{wasmtime-cache-11.0.0 → wasmtime-cache-12.0.0}/src/worker/tests/system_time_stub.rs +0 -0
  2089. /data/ext/cargo-vendor/{wasmtime-cache-11.0.0 → wasmtime-cache-12.0.0}/src/worker/tests.rs +0 -0
  2090. /data/ext/cargo-vendor/{wasmtime-cache-11.0.0 → wasmtime-cache-12.0.0}/src/worker.rs +0 -0
  2091. /data/ext/cargo-vendor/{wasmtime-cache-11.0.0 → wasmtime-cache-12.0.0}/tests/cache_write_default_config.rs +0 -0
  2092. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/src/lib.rs +0 -0
  2093. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/char.wit +0 -0
  2094. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/conventions.wit +0 -0
  2095. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/direct-import.wit +0 -0
  2096. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/empty.wit +0 -0
  2097. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/flags.wit +0 -0
  2098. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/floats.wit +0 -0
  2099. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/function-new.wit +0 -0
  2100. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/integers.wit +0 -0
  2101. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/lists.wit +0 -0
  2102. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/many-arguments.wit +0 -0
  2103. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/multi-return.wit +0 -0
  2104. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/records.wit +0 -0
  2105. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/rename.wit +0 -0
  2106. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/share-types.wit +0 -0
  2107. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/simple-functions.wit +0 -0
  2108. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/simple-lists.wit +0 -0
  2109. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/simple-wasi.wit +0 -0
  2110. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/small-anonymous.wit +0 -0
  2111. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/smoke-default.wit +0 -0
  2112. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/smoke-export.wit +0 -0
  2113. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/smoke.wit +0 -0
  2114. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/strings.wit +0 -0
  2115. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/unions.wit +0 -0
  2116. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/use-paths.wit +0 -0
  2117. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/variants.wit +0 -0
  2118. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen/worlds-with-types.wit +0 -0
  2119. /data/ext/cargo-vendor/{wasmtime-component-macro-11.0.0 → wasmtime-component-macro-12.0.0}/tests/codegen.rs +0 -0
  2120. /data/ext/cargo-vendor/{wasmtime-component-util-11.0.0 → wasmtime-component-util-12.0.0}/src/lib.rs +0 -0
  2121. /data/ext/cargo-vendor/{wasmtime-cache-11.0.0 → wasmtime-cranelift-12.0.0}/LICENSE +0 -0
  2122. /data/ext/cargo-vendor/{wasmtime-cranelift-11.0.0 → wasmtime-cranelift-12.0.0}/SECURITY.md +0 -0
  2123. /data/ext/cargo-vendor/{wasmtime-cranelift-11.0.0 → wasmtime-cranelift-12.0.0}/src/debug/gc.rs +0 -0
  2124. /data/ext/cargo-vendor/{wasmtime-cranelift-11.0.0 → wasmtime-cranelift-12.0.0}/src/debug/transform/address_transform.rs +0 -0
  2125. /data/ext/cargo-vendor/{wasmtime-cranelift-11.0.0 → wasmtime-cranelift-12.0.0}/src/debug/transform/attr.rs +0 -0
  2126. /data/ext/cargo-vendor/{wasmtime-cranelift-11.0.0 → wasmtime-cranelift-12.0.0}/src/debug/transform/line_program.rs +0 -0
  2127. /data/ext/cargo-vendor/{wasmtime-cranelift-11.0.0 → wasmtime-cranelift-12.0.0}/src/debug/transform/mod.rs +0 -0
  2128. /data/ext/cargo-vendor/{wasmtime-cranelift-11.0.0 → wasmtime-cranelift-12.0.0}/src/debug/transform/range_info_builder.rs +0 -0
  2129. /data/ext/cargo-vendor/{wasmtime-cranelift-11.0.0 → wasmtime-cranelift-12.0.0}/src/debug/transform/refs.rs +0 -0
  2130. /data/ext/cargo-vendor/{wasmtime-cranelift-11.0.0 → wasmtime-cranelift-12.0.0}/src/debug/transform/simulate.rs +0 -0
  2131. /data/ext/cargo-vendor/{wasmtime-cranelift-11.0.0 → wasmtime-cranelift-12.0.0}/src/debug/write_debuginfo.rs +0 -0
  2132. /data/ext/cargo-vendor/{wasmtime-cranelift-11.0.0 → wasmtime-cranelift-12.0.0}/src/debug.rs +0 -0
  2133. /data/ext/cargo-vendor/{wasmtime-cranelift-shared-11.0.0 → wasmtime-cranelift-shared-12.0.0}/src/compiled_function.rs +0 -0
  2134. /data/ext/cargo-vendor/{wasmtime-cranelift-shared-11.0.0 → wasmtime-cranelift-shared-12.0.0}/src/isa_builder.rs +0 -0
  2135. /data/ext/cargo-vendor/{wasmtime-cranelift-shared-11.0.0 → wasmtime-cranelift-shared-12.0.0}/src/obj.rs +0 -0
  2136. /data/ext/cargo-vendor/{wasmtime-cranelift-11.0.0 → wasmtime-environ-12.0.0}/LICENSE +0 -0
  2137. /data/ext/cargo-vendor/{wasmtime-environ-11.0.0 → wasmtime-environ-12.0.0}/src/address_map.rs +0 -0
  2138. /data/ext/cargo-vendor/{wasmtime-environ-11.0.0 → wasmtime-environ-12.0.0}/src/builtin.rs +0 -0
  2139. /data/ext/cargo-vendor/{wasmtime-environ-11.0.0 → wasmtime-environ-12.0.0}/src/fact/core_types.rs +0 -0
  2140. /data/ext/cargo-vendor/{wasmtime-environ-11.0.0 → wasmtime-environ-12.0.0}/src/fact/traps.rs +0 -0
  2141. /data/ext/cargo-vendor/{wasmtime-environ-11.0.0 → wasmtime-environ-12.0.0}/src/lib.rs +0 -0
  2142. /data/ext/cargo-vendor/{wasmtime-environ-11.0.0 → wasmtime-environ-12.0.0}/src/module.rs +0 -0
  2143. /data/ext/cargo-vendor/{wasmtime-environ-11.0.0 → wasmtime-environ-12.0.0}/src/module_types.rs +0 -0
  2144. /data/ext/cargo-vendor/{wasmtime-environ-11.0.0 → wasmtime-environ-12.0.0}/src/obj.rs +0 -0
  2145. /data/ext/cargo-vendor/{wasmtime-environ-11.0.0 → wasmtime-environ-12.0.0}/src/ref_bits.rs +0 -0
  2146. /data/ext/cargo-vendor/{wasmtime-environ-11.0.0 → wasmtime-environ-12.0.0}/src/scopevec.rs +0 -0
  2147. /data/ext/cargo-vendor/{wasmtime-environ-11.0.0 → wasmtime-environ-12.0.0}/src/stack_map.rs +0 -0
  2148. /data/ext/cargo-vendor/{wasmtime-environ-11.0.0 → wasmtime-environ-12.0.0}/src/vmoffsets.rs +0 -0
  2149. /data/ext/cargo-vendor/{wasmtime-environ-11.0.0 → wasmtime-fiber-12.0.0}/LICENSE +0 -0
  2150. /data/ext/cargo-vendor/{wasmtime-fiber-11.0.0 → wasmtime-fiber-12.0.0}/src/lib.rs +0 -0
  2151. /data/ext/cargo-vendor/{wasmtime-fiber-11.0.0 → wasmtime-fiber-12.0.0}/src/unix/x86.rs +0 -0
  2152. /data/ext/cargo-vendor/{wasmtime-fiber-11.0.0 → wasmtime-jit-12.0.0}/LICENSE +0 -0
  2153. /data/ext/cargo-vendor/{wasmtime-jit-11.0.0 → wasmtime-jit-12.0.0}/src/code_memory.rs +0 -0
  2154. /data/ext/cargo-vendor/{wasmtime-jit-11.0.0 → wasmtime-jit-12.0.0}/src/debug.rs +0 -0
  2155. /data/ext/cargo-vendor/{wasmtime-jit-11.0.0 → wasmtime-jit-12.0.0}/src/demangling.rs +0 -0
  2156. /data/ext/cargo-vendor/{wasmtime-jit-11.0.0 → wasmtime-jit-12.0.0}/src/instantiate.rs +0 -0
  2157. /data/ext/cargo-vendor/{wasmtime-jit-11.0.0 → wasmtime-jit-12.0.0}/src/lib.rs +0 -0
  2158. /data/ext/cargo-vendor/{wasmtime-jit-11.0.0 → wasmtime-jit-12.0.0}/src/profiling/jitdump.rs +0 -0
  2159. /data/ext/cargo-vendor/{wasmtime-jit-11.0.0 → wasmtime-jit-12.0.0}/src/profiling/perfmap.rs +0 -0
  2160. /data/ext/cargo-vendor/{wasmtime-jit-11.0.0 → wasmtime-jit-12.0.0}/src/profiling/vtune.rs +0 -0
  2161. /data/ext/cargo-vendor/{wasmtime-jit-11.0.0 → wasmtime-jit-12.0.0}/src/unwind/miri.rs +0 -0
  2162. /data/ext/cargo-vendor/{wasmtime-jit-11.0.0 → wasmtime-jit-12.0.0}/src/unwind/systemv.rs +0 -0
  2163. /data/ext/cargo-vendor/{wasmtime-jit-11.0.0 → wasmtime-jit-12.0.0}/src/unwind/winx64.rs +0 -0
  2164. /data/ext/cargo-vendor/{wasmtime-jit-11.0.0 → wasmtime-jit-12.0.0}/src/unwind.rs +0 -0
  2165. /data/ext/cargo-vendor/{wasmtime-jit-debug-11.0.0 → wasmtime-jit-debug-12.0.0}/README.md +0 -0
  2166. /data/ext/cargo-vendor/{wasmtime-jit-debug-11.0.0 → wasmtime-jit-debug-12.0.0}/src/lib.rs +0 -0
  2167. /data/ext/cargo-vendor/{wasmtime-jit-debug-11.0.0 → wasmtime-jit-debug-12.0.0}/src/perf_jitdump.rs +0 -0
  2168. /data/ext/cargo-vendor/{wasmtime-jit-icache-coherence-11.0.0 → wasmtime-jit-icache-coherence-12.0.0}/src/lib.rs +0 -0
  2169. /data/ext/cargo-vendor/{wasmtime-jit-icache-coherence-11.0.0 → wasmtime-jit-icache-coherence-12.0.0}/src/libc.rs +0 -0
  2170. /data/ext/cargo-vendor/{wasmtime-jit-icache-coherence-11.0.0 → wasmtime-jit-icache-coherence-12.0.0}/src/miri.rs +0 -0
  2171. /data/ext/cargo-vendor/{wasmtime-jit-icache-coherence-11.0.0 → wasmtime-jit-icache-coherence-12.0.0}/src/win.rs +0 -0
  2172. /data/ext/cargo-vendor/{wasmtime-jit-11.0.0 → wasmtime-runtime-12.0.0}/LICENSE +0 -0
  2173. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/cow.rs +0 -0
  2174. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/export.rs +0 -0
  2175. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/externref.rs +0 -0
  2176. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/imports.rs +0 -0
  2177. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/instance/allocator/pooling/index_allocator.rs +0 -0
  2178. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/instance/allocator/pooling/unix.rs +0 -0
  2179. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/instance/allocator/pooling/windows.rs +0 -0
  2180. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/instance/allocator/pooling.rs +0 -0
  2181. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/instance.rs +0 -0
  2182. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/memory.rs +0 -0
  2183. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/mmap/miri.rs +0 -0
  2184. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/mmap/windows.rs +0 -0
  2185. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/mmap.rs +0 -0
  2186. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/mmap_vec.rs +0 -0
  2187. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/module_id.rs +0 -0
  2188. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/parking_spot.rs +0 -0
  2189. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/store_box.rs +0 -0
  2190. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/table.rs +0 -0
  2191. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/trampolines/s390x.rs +0 -0
  2192. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/trampolines.rs +0 -0
  2193. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/traphandlers/backtrace/aarch64.rs +0 -0
  2194. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/traphandlers/backtrace/riscv64.rs +0 -0
  2195. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/traphandlers/backtrace/s390x.rs +0 -0
  2196. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/traphandlers/backtrace/x86_64.rs +0 -0
  2197. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/traphandlers/backtrace.rs +0 -0
  2198. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/traphandlers/macos.rs +0 -0
  2199. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/traphandlers/windows.rs +0 -0
  2200. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/vmcontext/vm_host_func_context.rs +0 -0
  2201. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-runtime-12.0.0}/src/vmcontext.rs +0 -0
  2202. /data/ext/cargo-vendor/{wasmtime-runtime-11.0.0 → wasmtime-types-12.0.1}/LICENSE +0 -0
  2203. /data/ext/cargo-vendor/{wasmtime-types-11.0.1 → wasmtime-types-12.0.1}/src/error.rs +0 -0
  2204. /data/ext/cargo-vendor/{wasmtime-types-11.0.1 → wasmtime-wasi-12.0.0}/LICENSE +0 -0
  2205. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/README.md +0 -0
  2206. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/build.rs +0 -0
  2207. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/src/lib.rs +0 -0
  2208. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/src/preview2/clocks/host.rs +0 -0
  2209. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/src/preview2/clocks.rs +0 -0
  2210. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/src/preview2/error.rs +0 -0
  2211. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/src/preview2/random.rs +0 -0
  2212. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/clocks/monotonic-clock.wit +0 -0
  2213. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/clocks/timezone.wit +0 -0
  2214. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/clocks/wall-clock.wit +0 -0
  2215. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/filesystem/filesystem.wit +0 -0
  2216. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/http/incoming-handler.wit +0 -0
  2217. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/http/outgoing-handler.wit +0 -0
  2218. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/http/types.wit +0 -0
  2219. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/logging/handler.wit +0 -0
  2220. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/poll/poll.wit +0 -0
  2221. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/preview/command-extended.wit +0 -0
  2222. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/preview/command.wit +0 -0
  2223. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/preview/proxy.wit +0 -0
  2224. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/preview/reactor.wit +0 -0
  2225. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/random/insecure-seed.wit +0 -0
  2226. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/random/insecure.wit +0 -0
  2227. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/random/random.wit +0 -0
  2228. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/sockets/instance-network.wit +0 -0
  2229. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/sockets/ip-name-lookup.wit +0 -0
  2230. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/sockets/network.wit +0 -0
  2231. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/sockets/tcp-create-socket.wit +0 -0
  2232. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/sockets/tcp.wit +0 -0
  2233. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/sockets/udp-create-socket.wit +0 -0
  2234. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/sockets/udp.wit +0 -0
  2235. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/wasi-cli-base/environment.wit +0 -0
  2236. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/wasi-cli-base/exit.wit +0 -0
  2237. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/wasi-cli-base/preopens.wit +0 -0
  2238. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/deps/wasi-cli-base/stdio.wit +0 -0
  2239. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/wit/main.wit +0 -0
  2240. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/witx/typenames.witx +0 -0
  2241. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wasmtime-wasi-12.0.0}/witx/wasi_snapshot_preview1.witx +0 -0
  2242. /data/ext/cargo-vendor/{wasmtime-winch-11.0.0 → wasmtime-winch-12.0.0}/LICENSE +0 -0
  2243. /data/ext/cargo-vendor/{wasmtime-winch-11.0.0 → wasmtime-winch-12.0.0}/src/lib.rs +0 -0
  2244. /data/ext/cargo-vendor/{wasmtime-wit-bindgen-11.0.0 → wasmtime-wit-bindgen-12.0.0}/src/source.rs +0 -0
  2245. /data/ext/cargo-vendor/{wasmtime-wasi-11.0.0 → wiggle-12.0.0}/LICENSE +0 -0
  2246. /data/ext/cargo-vendor/{wiggle-11.0.0 → wiggle-12.0.0}/README.md +0 -0
  2247. /data/ext/cargo-vendor/{wiggle-11.0.0 → wiggle-12.0.0}/src/borrow.rs +0 -0
  2248. /data/ext/cargo-vendor/{wiggle-11.0.0 → wiggle-12.0.0}/src/error.rs +0 -0
  2249. /data/ext/cargo-vendor/{wiggle-11.0.0 → wiggle-12.0.0}/src/guest_type.rs +0 -0
  2250. /data/ext/cargo-vendor/{wiggle-11.0.0 → wiggle-12.0.0}/src/lib.rs +0 -0
  2251. /data/ext/cargo-vendor/{wiggle-11.0.0 → wiggle-12.0.0}/src/region.rs +0 -0
  2252. /data/ext/cargo-vendor/{wiggle-11.0.0 → wiggle-12.0.0}/src/wasmtime.rs +0 -0
  2253. /data/ext/cargo-vendor/{wiggle-11.0.0 → wiggle-generate-12.0.0}/LICENSE +0 -0
  2254. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-generate-12.0.0}/README.md +0 -0
  2255. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-generate-12.0.0}/src/codegen_settings.rs +0 -0
  2256. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-generate-12.0.0}/src/funcs.rs +0 -0
  2257. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-generate-12.0.0}/src/lib.rs +0 -0
  2258. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-generate-12.0.0}/src/lifetimes.rs +0 -0
  2259. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-generate-12.0.0}/src/module_trait.rs +0 -0
  2260. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-generate-12.0.0}/src/names.rs +0 -0
  2261. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-generate-12.0.0}/src/types/error.rs +0 -0
  2262. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-generate-12.0.0}/src/types/handle.rs +0 -0
  2263. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-generate-12.0.0}/src/types/mod.rs +0 -0
  2264. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-generate-12.0.0}/src/types/record.rs +0 -0
  2265. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-generate-12.0.0}/src/types/variant.rs +0 -0
  2266. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-generate-12.0.0}/src/wasmtime.rs +0 -0
  2267. /data/ext/cargo-vendor/{wiggle-generate-11.0.0 → wiggle-macro-12.0.0}/LICENSE +0 -0
  2268. /data/ext/cargo-vendor/{wiggle-macro-11.0.0 → wiggle-macro-12.0.0}/src/lib.rs +0 -0
  2269. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/LICENSE +0 -0
  2270. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/build.rs +0 -0
  2271. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/abi/local.rs +0 -0
  2272. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/abi/mod.rs +0 -0
  2273. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/codegen/call.rs +0 -0
  2274. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/frame/mod.rs +0 -0
  2275. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/aarch64/abi.rs +0 -0
  2276. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/aarch64/address.rs +0 -0
  2277. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/aarch64/asm.rs +0 -0
  2278. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/aarch64/masm.rs +0 -0
  2279. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/aarch64/mod.rs +0 -0
  2280. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/aarch64/regs.rs +0 -0
  2281. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/mod.rs +0 -0
  2282. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/reg.rs +0 -0
  2283. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/x64/abi.rs +0 -0
  2284. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/x64/address.rs +0 -0
  2285. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/x64/asm.rs +0 -0
  2286. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/x64/masm.rs +0 -0
  2287. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/x64/mod.rs +0 -0
  2288. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/isa/x64/regs.rs +0 -0
  2289. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/lib.rs +0 -0
  2290. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/masm.rs +0 -0
  2291. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/regalloc.rs +0 -0
  2292. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/regset.rs +0 -0
  2293. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/stack.rs +0 -0
  2294. /data/ext/cargo-vendor/{winch-codegen-0.9.0 → winch-codegen-0.10.0}/src/trampoline.rs +0 -0
  2295. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/README.md +0 -0
  2296. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/all.rs +0 -0
  2297. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/comments.wit +0 -0
  2298. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/diamond1/deps/dep1/types.wit +0 -0
  2299. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/diamond1/deps/dep2/types.wit +0 -0
  2300. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/diamond1/join.wit +0 -0
  2301. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/disambiguate-diamond/shared1.wit +0 -0
  2302. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/disambiguate-diamond/shared2.wit +0 -0
  2303. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/disambiguate-diamond/world.wit +0 -0
  2304. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/embedded.wit.md +0 -0
  2305. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/empty.wit +0 -0
  2306. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/foreign-deps/root.wit +0 -0
  2307. /data/ext/cargo-vendor/{wit-parser-0.8.0/tests/ui/foreign-deps → wit-parser-0.9.2/tests/ui/foreign-deps-union}/deps/another-pkg/other-doc.wit +0 -0
  2308. /data/ext/cargo-vendor/{wit-parser-0.8.0/tests/ui/foreign-deps → wit-parser-0.9.2/tests/ui/foreign-deps-union}/deps/corp/saas.wit +0 -0
  2309. /data/ext/cargo-vendor/{wit-parser-0.8.0/tests/ui/foreign-deps → wit-parser-0.9.2/tests/ui/foreign-deps-union}/deps/different-pkg/the-doc.wit +0 -0
  2310. /data/ext/cargo-vendor/{wit-parser-0.8.0/tests/ui/foreign-deps → wit-parser-0.9.2/tests/ui/foreign-deps-union}/deps/foreign-pkg/the-doc.wit +0 -0
  2311. /data/ext/cargo-vendor/{wit-parser-0.8.0/tests/ui/foreign-deps → wit-parser-0.9.2/tests/ui/foreign-deps-union}/deps/some-pkg/some-doc.wit +0 -0
  2312. /data/ext/cargo-vendor/{wit-parser-0.8.0/tests/ui/foreign-deps → wit-parser-0.9.2/tests/ui/foreign-deps-union}/deps/wasi/clocks.wit +0 -0
  2313. /data/ext/cargo-vendor/{wit-parser-0.8.0/tests/ui/foreign-deps → wit-parser-0.9.2/tests/ui/foreign-deps-union}/deps/wasi/filesystem.wit +0 -0
  2314. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/functions.wit +0 -0
  2315. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/many-names/a.wit +0 -0
  2316. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/many-names/b.wit +0 -0
  2317. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/multi-file/bar.wit +0 -0
  2318. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/multi-file/cycle-a.wit +0 -0
  2319. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/multi-file/cycle-b.wit +0 -0
  2320. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/multi-file/foo.wit +0 -0
  2321. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/package-syntax1.wit +0 -0
  2322. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/package-syntax3.wit +0 -0
  2323. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/package-syntax4.wit +0 -0
  2324. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/alias-no-type.wit +0 -0
  2325. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/alias-no-type.wit.result +0 -0
  2326. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/async.wit.result +0 -0
  2327. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/async1.wit.result +0 -0
  2328. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-function.wit +0 -0
  2329. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-function.wit.result +0 -0
  2330. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-function2.wit +0 -0
  2331. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-function2.wit.result +0 -0
  2332. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-list.wit +0 -0
  2333. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-list.wit.result +0 -0
  2334. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg1/root.wit +0 -0
  2335. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg2/deps/bar/empty.wit +0 -0
  2336. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg2/root.wit +0 -0
  2337. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg2.wit.result +0 -0
  2338. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg3/deps/bar/baz.wit +0 -0
  2339. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg3/root.wit +0 -0
  2340. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg3.wit.result +0 -0
  2341. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg4/deps/bar/baz.wit +0 -0
  2342. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg4/root.wit +0 -0
  2343. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg4.wit.result +0 -0
  2344. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg5/deps/bar/baz.wit +0 -0
  2345. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg5/root.wit +0 -0
  2346. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg5.wit.result +0 -0
  2347. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg6/deps/bar/baz.wit +0 -0
  2348. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg6/root.wit +0 -0
  2349. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-pkg6.wit.result +0 -0
  2350. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-world-type1.wit +0 -0
  2351. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/bad-world-type1.wit.result +0 -0
  2352. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/conflicting-package/a.wit +0 -0
  2353. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/conflicting-package/b.wit +0 -0
  2354. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/conflicting-package.wit.result +0 -0
  2355. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/cycle.wit +0 -0
  2356. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/cycle.wit.result +0 -0
  2357. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/cycle2.wit +0 -0
  2358. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/cycle2.wit.result +0 -0
  2359. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/cycle3.wit +0 -0
  2360. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/cycle3.wit.result +0 -0
  2361. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/cycle4.wit +0 -0
  2362. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/cycle4.wit.result +0 -0
  2363. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/cycle5.wit +0 -0
  2364. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/cycle5.wit.result +0 -0
  2365. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/dangling-type.wit +0 -0
  2366. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/dangling-type.wit.result +0 -0
  2367. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/duplicate-functions.wit +0 -0
  2368. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/duplicate-functions.wit.result +0 -0
  2369. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/duplicate-interface.wit +0 -0
  2370. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/duplicate-interface.wit.result +0 -0
  2371. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/duplicate-interface2/foo.wit +0 -0
  2372. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/duplicate-interface2/foo2.wit +0 -0
  2373. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/duplicate-interface2.wit.result +0 -0
  2374. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/duplicate-type.wit +0 -0
  2375. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/duplicate-type.wit.result +0 -0
  2376. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/empty-enum.wit +0 -0
  2377. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/empty-enum.wit.result +0 -0
  2378. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/empty-union.wit +0 -0
  2379. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/empty-union.wit.result +0 -0
  2380. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/empty-variant1.wit +0 -0
  2381. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/empty-variant1.wit.result +0 -0
  2382. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/export-twice.wit +0 -0
  2383. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/export-twice.wit.result +0 -0
  2384. /data/ext/cargo-vendor/{wit-parser-0.8.0/tests/ui/worlds-same-fields5.wit → wit-parser-0.9.2/tests/ui/parse-fail/import-and-export1.wit} +0 -0
  2385. /data/ext/cargo-vendor/{wit-parser-0.8.0/tests/ui/worlds.wit → wit-parser-0.9.2/tests/ui/parse-fail/import-and-export3.wit} +0 -0
  2386. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/import-export-overlap1.wit +0 -0
  2387. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/import-export-overlap1.wit.result +0 -0
  2388. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/import-export-overlap2.wit +0 -0
  2389. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/import-export-overlap2.wit.result +0 -0
  2390. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/import-twice.wit +0 -0
  2391. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/import-twice.wit.result +0 -0
  2392. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/invalid-md.md +0 -0
  2393. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/invalid-md.wit.result +0 -0
  2394. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/invalid-toplevel.wit +0 -0
  2395. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/invalid-toplevel.wit.result +0 -0
  2396. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/invalid-type-reference.wit +0 -0
  2397. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/invalid-type-reference.wit.result +0 -0
  2398. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/invalid-type-reference2.wit +0 -0
  2399. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/invalid-type-reference2.wit.result +0 -0
  2400. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/keyword.wit +0 -0
  2401. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/keyword.wit.result +0 -0
  2402. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/missing-package.wit +0 -0
  2403. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/missing-package.wit.result +0 -0
  2404. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/no-access-to-sibling-use/bar.wit +0 -0
  2405. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/no-access-to-sibling-use/foo.wit +0 -0
  2406. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/pkg-cycle/deps/a1/root.wit +0 -0
  2407. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/pkg-cycle/root.wit +0 -0
  2408. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/pkg-cycle.wit.result +0 -0
  2409. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/pkg-cycle2/deps/a1/root.wit +0 -0
  2410. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/pkg-cycle2/deps/a2/root.wit +0 -0
  2411. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/pkg-cycle2/root.wit +0 -0
  2412. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/pkg-cycle2.wit.result +0 -0
  2413. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/undefined-typed.wit +0 -0
  2414. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/undefined-typed.wit.result +0 -0
  2415. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unknown-interface.wit +0 -0
  2416. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-interface1.wit +0 -0
  2417. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-interface1.wit.result +0 -0
  2418. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-interface2.wit +0 -0
  2419. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-interface3.wit +0 -0
  2420. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-interface4.wit +0 -0
  2421. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-interface4.wit.result +0 -0
  2422. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-use1.wit +0 -0
  2423. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-use10/bar.wit +0 -0
  2424. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-use10/foo.wit +0 -0
  2425. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-use10.wit.result +0 -0
  2426. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-use2.wit +0 -0
  2427. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-use2.wit.result +0 -0
  2428. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-use3.wit +0 -0
  2429. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-use3.wit.result +0 -0
  2430. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-use7.wit +0 -0
  2431. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-use7.wit.result +0 -0
  2432. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-use8.wit +0 -0
  2433. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-use8.wit.result +0 -0
  2434. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unresolved-use9.wit +0 -0
  2435. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/unterminated-string.wit.result +0 -0
  2436. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/use-conflict.wit +0 -0
  2437. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/use-conflict.wit.result +0 -0
  2438. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/use-conflict2.wit +0 -0
  2439. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/use-conflict2.wit.result +0 -0
  2440. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/use-conflict3.wit +0 -0
  2441. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/use-conflict3.wit.result +0 -0
  2442. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/use-cycle1.wit +0 -0
  2443. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/use-cycle4.wit +0 -0
  2444. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/use-shadow1.wit +0 -0
  2445. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/use-shadow1.wit.result +0 -0
  2446. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/world-interface-clash.wit +0 -0
  2447. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/world-same-fields2.wit +0 -0
  2448. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/world-same-fields2.wit.result +0 -0
  2449. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/world-same-fields3.wit +0 -0
  2450. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/world-same-fields3.wit.result +0 -0
  2451. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/world-top-level-func.wit +0 -0
  2452. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/world-top-level-func.wit.result +0 -0
  2453. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/world-top-level-func2.wit +0 -0
  2454. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/parse-fail/world-top-level-func2.wit.result +0 -0
  2455. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/type-then-eof.wit +0 -0
  2456. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/types.wit +0 -0
  2457. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/use-chain.wit +0 -0
  2458. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/use.wit +0 -0
  2459. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/versions/deps/a1/foo.wit +0 -0
  2460. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/versions/deps/a2/foo.wit +0 -0
  2461. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/versions/foo.wit +0 -0
  2462. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/wasi.wit +0 -0
  2463. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/world-iface-no-collide.wit +0 -0
  2464. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/world-implicit-import1.wit +0 -0
  2465. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/world-implicit-import2.wit +0 -0
  2466. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/world-implicit-import3.wit +0 -0
  2467. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/world-top-level-funcs.wit +0 -0
  2468. /data/ext/cargo-vendor/{wit-parser-0.8.0 → wit-parser-0.9.2}/tests/ui/worlds-with-types.wit +0 -0
@@ -0,0 +1,2907 @@
1
+ ;; aarch64 instruction selection and CLIF-to-MachInst lowering.
2
+
3
+ ;; The main lowering constructor term: takes a clif `Inst` and returns the
4
+ ;; register(s) within which the lowered instruction's result values live.
5
+ (decl partial lower (Inst) InstOutput)
6
+
7
+ ;; Variant of the main lowering constructor term, which receives an
8
+ ;; additional argument (a vector of branch targets to be used) for
9
+ ;; implementing branches.
10
+ ;; For two-branch instructions, the first target is `taken` and the second
11
+ ;; `not_taken`, even if it is a Fallthrough instruction: because we reorder
12
+ ;; blocks while we lower, the fallthrough in the new order is not (necessarily)
13
+ ;; the same as the fallthrough in CLIF. So, we use the explicitly-provided
14
+ ;; target.
15
+ (decl partial lower_branch (Inst VecMachLabel) Unit)
16
+
17
+ ;;;; Rules for `iconst` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
18
+
19
+ (rule (lower (has_type ty (iconst (u64_from_imm64 n))))
20
+ (imm ty (ImmExtend.Zero) n))
21
+
22
+ ;;;; Rules for `null` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
23
+
24
+ (rule (lower (has_type ty (null)))
25
+ (imm ty (ImmExtend.Zero) 0))
26
+
27
+ ;;;; Rules for `f32const` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
28
+
29
+ (rule (lower (f32const (u32_from_ieee32 n)))
30
+ (constant_f32 n))
31
+
32
+ ;;;; Rules for `f64const` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
33
+
34
+ (rule (lower (f64const (u64_from_ieee64 n)))
35
+ (constant_f64 n))
36
+
37
+ ;;;; Rules for `nop` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
38
+
39
+ (rule (lower (nop))
40
+ (invalid_reg))
41
+
42
+ ;;;; Rules for `iadd` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
43
+
44
+ ;; `i64` and smaller
45
+
46
+ ;; Base case, simply adding things in registers.
47
+ (rule -1 (lower (has_type (fits_in_64 ty) (iadd x y)))
48
+ (add ty x y))
49
+
50
+ ;; Special cases for when one operand is an immediate that fits in 12 bits.
51
+ (rule 4 (lower (has_type (fits_in_64 ty) (iadd x (imm12_from_value y))))
52
+ (add_imm ty x y))
53
+
54
+ (rule 5 (lower (has_type (fits_in_64 ty) (iadd (imm12_from_value x) y)))
55
+ (add_imm ty y x))
56
+
57
+ ;; Same as the previous special cases, except we can switch the addition to a
58
+ ;; subtraction if the negated immediate fits in 12 bits.
59
+ (rule 2 (lower (has_type (fits_in_64 ty) (iadd x y)))
60
+ (if-let imm12_neg (imm12_from_negated_value y))
61
+ (sub_imm ty x imm12_neg))
62
+
63
+ (rule 3 (lower (has_type (fits_in_64 ty) (iadd x y)))
64
+ (if-let imm12_neg (imm12_from_negated_value x))
65
+ (sub_imm ty y imm12_neg))
66
+
67
+ ;; Special cases for when we're adding an extended register where the extending
68
+ ;; operation can get folded into the add itself.
69
+ (rule 0 (lower (has_type (fits_in_64 ty) (iadd x (extended_value_from_value y))))
70
+ (add_extend ty x y))
71
+
72
+ (rule 1 (lower (has_type (fits_in_64 ty) (iadd (extended_value_from_value x) y)))
73
+ (add_extend ty y x))
74
+
75
+ ;; Special cases for when we're adding the shift of a different
76
+ ;; register by a constant amount and the shift can get folded into the add.
77
+ (rule 7 (lower (has_type (fits_in_64 ty)
78
+ (iadd x (ishl y (iconst k)))))
79
+ (if-let amt (lshl_from_imm64 ty k))
80
+ (add_shift ty x y amt))
81
+
82
+ (rule 6 (lower (has_type (fits_in_64 ty)
83
+ (iadd (ishl x (iconst k)) y)))
84
+ (if-let amt (lshl_from_imm64 ty k))
85
+ (add_shift ty y x amt))
86
+
87
+ ;; Fold an `iadd` and `imul` combination into a `madd` instruction.
88
+ (rule 7 (lower (has_type (fits_in_64 ty) (iadd x (imul y z))))
89
+ (madd ty y z x))
90
+
91
+ (rule 6 (lower (has_type (fits_in_64 ty) (iadd (imul x y) z)))
92
+ (madd ty x y z))
93
+
94
+ ;; Fold an `isub` and `imul` combination into a `msub` instruction.
95
+ (rule (lower (has_type (fits_in_64 ty) (isub x (imul y z))))
96
+ (msub ty y z x))
97
+
98
+ ;; vectors
99
+
100
+ (rule -2 (lower (has_type ty @ (multi_lane _ _) (iadd x y)))
101
+ (add_vec x y (vector_size ty)))
102
+
103
+ ;; `i128`
104
+ (rule -3 (lower (has_type $I128 (iadd x y)))
105
+ (let
106
+ ;; Get the high/low registers for `x`.
107
+ ((x_regs ValueRegs x)
108
+ (x_lo Reg (value_regs_get x_regs 0))
109
+ (x_hi Reg (value_regs_get x_regs 1))
110
+
111
+ ;; Get the high/low registers for `y`.
112
+ (y_regs ValueRegs y)
113
+ (y_lo Reg (value_regs_get y_regs 0))
114
+ (y_hi Reg (value_regs_get y_regs 1)))
115
+ ;; the actual addition is `adds` followed by `adc` which comprises the
116
+ ;; low/high bits of the result
117
+ (with_flags
118
+ (add_with_flags_paired $I64 x_lo y_lo)
119
+ (adc_paired $I64 x_hi y_hi))))
120
+
121
+ ;;;; Rules for `shuffle` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
122
+
123
+ ;; When a single element of one vector is broadcast to all the destination
124
+ ;; lanes then the `dup` instruction can be used for this operation. Note that
125
+ ;; for now this only matches lane selection from the first vector `a`, but
126
+ ;; if necessary in the future rules can be added to select from `b` as well.
127
+ (rule 6 (lower (shuffle a b (shuffle_dup8_from_imm n)))
128
+ (vec_dup_from_fpu a (VectorSize.Size8x16) n))
129
+ (rule 5 (lower (shuffle a b (shuffle_dup16_from_imm n)))
130
+ (vec_dup_from_fpu a (VectorSize.Size16x8) n))
131
+ (rule 4 (lower (shuffle a b (shuffle_dup32_from_imm n)))
132
+ (vec_dup_from_fpu a (VectorSize.Size32x4) n))
133
+ (rule 3 (lower (shuffle a b (shuffle_dup64_from_imm n)))
134
+ (vec_dup_from_fpu a (VectorSize.Size64x2) n))
135
+
136
+ ;; If the `Immediate` specified to the extractor looks like a duplication of the
137
+ ;; `n`th lane of the first vector of size K-byte lanes, then each extractor
138
+ ;; returns the `n` value as a `u8` to be used as part of a `vec_dup_from_fpu`
139
+ ;; instruction. Note that there's a different extractor for each bit-width of
140
+ ;; lane.
141
+ (decl shuffle_dup8_from_imm (u8) Immediate)
142
+ (extern extractor shuffle_dup8_from_imm shuffle_dup8_from_imm)
143
+ (decl shuffle_dup16_from_imm (u8) Immediate)
144
+ (extern extractor shuffle_dup16_from_imm shuffle_dup16_from_imm)
145
+ (decl shuffle_dup32_from_imm (u8) Immediate)
146
+ (extern extractor shuffle_dup32_from_imm shuffle_dup32_from_imm)
147
+ (decl shuffle_dup64_from_imm (u8) Immediate)
148
+ (extern extractor shuffle_dup64_from_imm shuffle_dup64_from_imm)
149
+
150
+ ;; When the shuffle looks like "concatenate `a` and `b` and shift right by n*8
151
+ ;; bytes", that's an `ext` instruction.
152
+ (rule 2 (lower (shuffle a b (vec_extract_imm4_from_immediate n)))
153
+ (vec_extract a b n))
154
+
155
+ ;; Attempts to extract `n` from the specified shuffle `Immediate` where each
156
+ ;; byte of the `Immediate` is a consecutive sequence starting from `n`. This
157
+ ;; value of `n` is used as part of the `vec_extract` instruction which extracts
158
+ ;; consecutive bytes from two vectors into one final vector, offset by `n`
159
+ ;; bytes.
160
+ (decl vec_extract_imm4_from_immediate (u8) Immediate)
161
+ (extern extractor vec_extract_imm4_from_immediate vec_extract_imm4_from_immediate)
162
+
163
+ ;; Rules for the `uzp1` and `uzp2` instructions which gather even-numbered lanes
164
+ ;; or odd-numbered lanes
165
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1e1c_1a18_1614_1210_0e0c_0a08_0604_0200)))
166
+ (vec_uzp1 a b (VectorSize.Size8x16)))
167
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1f1d_1b19_1715_1311_0f0d_0b09_0705_0301)))
168
+ (vec_uzp2 a b (VectorSize.Size8x16)))
169
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1d1c_1918_1514_1110_0d0c_0908_0504_0100)))
170
+ (vec_uzp1 a b (VectorSize.Size16x8)))
171
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1f1e_1b1a_1716_1312_0f0e_0b0a_0706_0302)))
172
+ (vec_uzp2 a b (VectorSize.Size16x8)))
173
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1b1a1918_13121110_0b0a0908_03020100)))
174
+ (vec_uzp1 a b (VectorSize.Size32x4)))
175
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1f1e1d1c_17161514_0f0e0d0c_07060504)))
176
+ (vec_uzp2 a b (VectorSize.Size32x4)))
177
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1716151413121110_0706050403020100)))
178
+ (vec_uzp1 a b (VectorSize.Size64x2)))
179
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1f1e1d1c1b1a1918_0f0e0d0c0b0a0908)))
180
+ (vec_uzp2 a b (VectorSize.Size64x2)))
181
+
182
+ ;; Rules for the `zip1` and `zip2` instructions which interleave lanes in the
183
+ ;; low or high halves of the two input vectors.
184
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1707_1606_1505_1404_1303_1202_1101_1000)))
185
+ (vec_zip1 a b (VectorSize.Size8x16)))
186
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1f0f_1e0e_1d0d_1c0c_1b0b_1a0a_1909_1808)))
187
+ (vec_zip2 a b (VectorSize.Size8x16)))
188
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1716_0706_1514_0504_1312_0302_1110_0100)))
189
+ (vec_zip1 a b (VectorSize.Size16x8)))
190
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1f1e_0f0e_1d1c_0d0c_1b1a_0b0a_1918_0908)))
191
+ (vec_zip2 a b (VectorSize.Size16x8)))
192
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x17161514_07060504_13121110_03020100)))
193
+ (vec_zip1 a b (VectorSize.Size32x4)))
194
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1f1e1d1c_0f0e0d0c_1b1a1918_0b0a0908)))
195
+ (vec_zip2 a b (VectorSize.Size32x4)))
196
+ ;; Note that zip1/zip2 for i64x2 vectors is omitted since it's already covered
197
+ ;; by the i64x2 cases of uzp1/uzp2 above where both zip and uzp have the same
198
+ ;; semantics for 64-bit lanes.
199
+
200
+ ;; Rules for the `trn1` and `trn2` instructions which interleave odd or even
201
+ ;; lanes in the two input vectors.
202
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1e0e_1c0c_1a0a_1808_1606_1404_1202_1000)))
203
+ (vec_trn1 a b (VectorSize.Size8x16)))
204
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1f0f_1d0d_1b0b_1909_1707_1505_1303_1101)))
205
+ (vec_trn2 a b (VectorSize.Size8x16)))
206
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1d1c_0d0c_1918_0908_1514_0504_1110_0100)))
207
+ (vec_trn1 a b (VectorSize.Size16x8)))
208
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1f1e_0f0e_1b1a_0b0a_1716_0706_1312_0302)))
209
+ (vec_trn2 a b (VectorSize.Size16x8)))
210
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1b1a1918_0b0a0908_13121110_03020100)))
211
+ (vec_trn1 a b (VectorSize.Size32x4)))
212
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x1f1e1d1c_0f0e0d0c_17161514_07060504)))
213
+ (vec_trn2 a b (VectorSize.Size32x4)))
214
+ ;; Note that trn1/trn2 for i64x2 vectors is omitted since it's already covered
215
+ ;; by the i64x2 cases of uzp1/uzp2 above where both trn and uzp have the same
216
+ ;; semantics for 64-bit lanes.
217
+
218
+ ;; Rules for the `rev{16,32,64}` instructions where reversals happen at either
219
+ ;; the byte level, the 16-bit level, or 32-bit level. Note that all of these
220
+ ;; patterns only match reversals in the first operand, but they can
221
+ ;; theoretically be extended if necessary to reversals in the second operand.
222
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x0e0f_0c0d_0a0b_0809_0607_0405_0203_0001)))
223
+ (rev16 a (VectorSize.Size8x16)))
224
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x0c0d0e0f_08090a0b_04050607_00010203)))
225
+ (rev32 a (VectorSize.Size8x16)))
226
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x0d0c0f0e_09080b0a_05040706_01000302)))
227
+ (rev32 a (VectorSize.Size16x8)))
228
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x08090a0b0c0d0e0f_0001020304050607)))
229
+ (rev64 a (VectorSize.Size8x16)))
230
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x09080b0a0d0c0f0e_0100030205040706)))
231
+ (rev64 a (VectorSize.Size16x8)))
232
+ (rule 1 (lower (shuffle a b (u128_from_immediate 0x0b0a09080f0e0d0c_0302010007060504)))
233
+ (rev64 a (VectorSize.Size32x4)))
234
+
235
+ (rule (lower (has_type ty (shuffle rn rn2 (u128_from_immediate mask))))
236
+ (let ((mask_reg Reg (constant_f128 mask)))
237
+ (vec_tbl2 rn rn2 mask_reg ty)))
238
+
239
+ ;;;; Rules for `swizzle` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
240
+
241
+ (rule (lower (has_type vec_i128_ty (swizzle rn rm)))
242
+ (vec_tbl rn rm))
243
+
244
+ ;;;; Rules for `isplit` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
245
+
246
+ (rule (lower (isplit x @ (value_type $I128)))
247
+ (let
248
+ ((x_regs ValueRegs x)
249
+ (x_lo ValueRegs (value_regs_get x_regs 0))
250
+ (x_hi ValueRegs (value_regs_get x_regs 1)))
251
+ (output_pair x_lo x_hi)))
252
+
253
+ ;;;; Rules for `iconcat` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
254
+
255
+ (rule (lower (has_type $I128 (iconcat lo hi)))
256
+ (output (value_regs lo hi)))
257
+
258
+ ;;;; Rules for `scalar_to_vector` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
259
+
260
+ (rule (lower (has_type $F32X4 (scalar_to_vector x)))
261
+ (fpu_extend x (ScalarSize.Size32)))
262
+
263
+ (rule (lower (has_type $F64X2 (scalar_to_vector x)))
264
+ (fpu_extend x (ScalarSize.Size64)))
265
+
266
+ (rule -1 (lower (scalar_to_vector x @ (value_type $I64)))
267
+ (mov_to_fpu x (ScalarSize.Size64)))
268
+
269
+ (rule -2 (lower (scalar_to_vector x @ (value_type (int_fits_in_32 _))))
270
+ (mov_to_fpu (put_in_reg_zext32 x) (ScalarSize.Size32)))
271
+
272
+ ;;;; Rules for `vall_true` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
273
+
274
+ ;; cmeq vtmp.2d, vm.2d, #0
275
+ ;; addp dtmp, vtmp.2d
276
+ ;; fcmp dtmp, dtmp
277
+ ;; cset xd, eq
278
+ ;;
279
+ ;; Note that after the ADDP the value of the temporary register will be either
280
+ ;; 0 when all input elements are true, i.e. non-zero, or a NaN otherwise
281
+ ;; (either -1 or -2 when represented as an integer); NaNs are the only
282
+ ;; floating-point numbers that compare unequal to themselves.
283
+ (rule (lower (vall_true x @ (value_type (multi_lane 64 2))))
284
+ (let ((x1 Reg (cmeq0 x (VectorSize.Size64x2)))
285
+ (x2 Reg (addp x1 x1 (VectorSize.Size64x2))))
286
+ (with_flags (fpu_cmp (ScalarSize.Size64) x2 x2)
287
+ (materialize_bool_result (Cond.Eq)))))
288
+
289
+ (rule (lower (vall_true x @ (value_type (multi_lane 32 2))))
290
+ (let ((x1 Reg (mov_from_vec x 0 (ScalarSize.Size64))))
291
+ (with_flags (cmp_rr_shift (OperandSize.Size64) (zero_reg) x1 32)
292
+ (ccmp_imm
293
+ (OperandSize.Size32)
294
+ x1
295
+ (u8_into_uimm5 0)
296
+ (nzcv $false $true $false $false)
297
+ (Cond.Ne)))))
298
+
299
+ ;; This operation is implemented by using uminv to create a scalar value, which
300
+ ;; is then compared against zero.
301
+ ;;
302
+ ;; uminv bn, vm.16b
303
+ ;; mov xm, vn.d[0]
304
+ ;; cmp xm, #0
305
+ ;; cset xm, ne
306
+ (rule -1 (lower (vall_true x @ (value_type (lane_fits_in_32 ty))))
307
+ (if (not_vec32x2 ty))
308
+ (let ((x1 Reg (vec_lanes (VecLanesOp.Uminv) x (vector_size ty)))
309
+ (x2 Reg (mov_from_vec x1 0 (ScalarSize.Size64))))
310
+ (with_flags (cmp_imm (OperandSize.Size64) x2 (u8_into_imm12 0))
311
+ (materialize_bool_result (Cond.Ne)))))
312
+
313
+ ;;;; Rules for `vany_true` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
314
+
315
+ (rule (lower (vany_true x @ (value_type in_ty)))
316
+ (with_flags (vanytrue x in_ty)
317
+ (materialize_bool_result (Cond.Ne))))
318
+
319
+ ;;;; Rules for `iadd_pairwise` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
320
+
321
+ ;; special case for the `i16x8.extadd_pairwise_i8x16_s` wasm instruction
322
+ (rule (lower (has_type $I16X8 (iadd_pairwise (swiden_low x) (swiden_high x))))
323
+ (saddlp8 x))
324
+
325
+ ;; special case for the `i32x4.extadd_pairwise_i16x8_s` wasm instruction
326
+ (rule (lower (has_type $I32X4 (iadd_pairwise (swiden_low x) (swiden_high x))))
327
+ (saddlp16 x))
328
+
329
+ ;; special case for the `i16x8.extadd_pairwise_i8x16_u` wasm instruction
330
+ (rule (lower (has_type $I16X8 (iadd_pairwise (uwiden_low x) (uwiden_high x))))
331
+ (uaddlp8 x))
332
+
333
+ ;; special case for the `i32x4.extadd_pairwise_i16x8_u` wasm instruction
334
+ (rule (lower (has_type $I32X4 (iadd_pairwise (uwiden_low x) (uwiden_high x))))
335
+ (uaddlp16 x))
336
+
337
+ (rule -1 (lower (has_type ty (iadd_pairwise x y)))
338
+ (addp x y (vector_size ty)))
339
+
340
+ ;;;; Rules for `iabs` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
341
+
342
+ (rule (lower (has_type ty @ (multi_lane _ _) (iabs x)))
343
+ (vec_abs x (vector_size ty)))
344
+
345
+ (rule 2 (lower (has_type $I64 (iabs x)))
346
+ (abs (OperandSize.Size64) x))
347
+
348
+ (rule 1 (lower (has_type (fits_in_32 ty) (iabs x)))
349
+ (abs (OperandSize.Size32) (put_in_reg_sext32 x)))
350
+
351
+ ;;;; Rules for `avg_round` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
352
+
353
+ (rule (lower (has_type $I64X2 (avg_round x y)))
354
+ (let ((one Reg (splat_const 1 (VectorSize.Size64x2)))
355
+ (c Reg (orr_vec x y (VectorSize.Size64x2)))
356
+ (c Reg (and_vec c one (VectorSize.Size64x2)))
357
+ (x Reg (ushr_vec_imm x 1 (VectorSize.Size64x2)))
358
+ (y Reg (ushr_vec_imm y 1 (VectorSize.Size64x2)))
359
+ (sum Reg (add_vec x y (VectorSize.Size64x2))))
360
+ (add_vec c sum (VectorSize.Size64x2))))
361
+
362
+ (rule -1 (lower (has_type (lane_fits_in_32 ty) (avg_round x y)))
363
+ (vec_rrr (VecALUOp.Urhadd) x y (vector_size ty)))
364
+
365
+ ;;;; Rules for `sqmul_round_sat` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
366
+
367
+ (rule (lower (has_type ty @ (multi_lane _ _) (sqmul_round_sat x y)))
368
+ (vec_rrr (VecALUOp.Sqrdmulh) x y (vector_size ty)))
369
+
370
+ ;;;; Rules for `fadd` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
371
+
372
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (fadd rn rm)))
373
+ (vec_rrr (VecALUOp.Fadd) rn rm (vector_size ty)))
374
+
375
+ (rule (lower (has_type (ty_scalar_float ty) (fadd rn rm)))
376
+ (fpu_rrr (FPUOp2.Add) rn rm (scalar_size ty)))
377
+
378
+ ;;;; Rules for `fsub` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
379
+
380
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (fsub rn rm)))
381
+ (vec_rrr (VecALUOp.Fsub) rn rm (vector_size ty)))
382
+
383
+ (rule (lower (has_type (ty_scalar_float ty) (fsub rn rm)))
384
+ (fpu_rrr (FPUOp2.Sub) rn rm (scalar_size ty)))
385
+
386
+ ;;;; Rules for `fmul` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
387
+
388
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (fmul rn rm)))
389
+ (vec_rrr (VecALUOp.Fmul) rn rm (vector_size ty)))
390
+
391
+ (rule (lower (has_type (ty_scalar_float ty) (fmul rn rm)))
392
+ (fpu_rrr (FPUOp2.Mul) rn rm (scalar_size ty)))
393
+
394
+ ;;;; Rules for `fdiv` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
395
+
396
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (fdiv rn rm)))
397
+ (vec_rrr (VecALUOp.Fdiv) rn rm (vector_size ty)))
398
+
399
+ (rule (lower (has_type (ty_scalar_float ty) (fdiv rn rm)))
400
+ (fpu_rrr (FPUOp2.Div) rn rm (scalar_size ty)))
401
+
402
+ ;;;; Rules for `fmin` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
403
+
404
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (fmin rn rm)))
405
+ (vec_rrr (VecALUOp.Fmin) rn rm (vector_size ty)))
406
+
407
+ (rule (lower (has_type (ty_scalar_float ty) (fmin rn rm)))
408
+ (fpu_rrr (FPUOp2.Min) rn rm (scalar_size ty)))
409
+
410
+ ;;;; Rules for `fmax` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
411
+
412
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (fmax rn rm)))
413
+ (vec_rrr (VecALUOp.Fmax) rn rm (vector_size ty)))
414
+
415
+ (rule (lower (has_type (ty_scalar_float ty) (fmax rn rm)))
416
+ (fpu_rrr (FPUOp2.Max) rn rm (scalar_size ty)))
417
+
418
+ ;;;; Rules for `fmin_pseudo` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
419
+
420
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (fmin_pseudo rm rn)))
421
+ (bsl ty (vec_rrr (VecALUOp.Fcmgt) rm rn (vector_size ty)) rn rm))
422
+
423
+ (rule (lower (has_type (ty_scalar_float ty) (fmin_pseudo rm rn)))
424
+ (with_flags (fpu_cmp (scalar_size ty) rm rn)
425
+ (fpu_csel ty (Cond.Gt) rn rm)))
426
+
427
+ ;;;; Rules for `fmax_pseudo` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
428
+
429
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (fmax_pseudo rm rn)))
430
+ (bsl ty (vec_rrr (VecALUOp.Fcmgt) rn rm (vector_size ty)) rn rm))
431
+
432
+ (rule (lower (has_type (ty_scalar_float ty) (fmax_pseudo rm rn)))
433
+ (with_flags (fpu_cmp (scalar_size ty) rn rm)
434
+ (fpu_csel ty (Cond.Gt) rn rm)))
435
+
436
+ ;;;; Rules for `sqrt` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
437
+
438
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (sqrt x)))
439
+ (vec_misc (VecMisc2.Fsqrt) x (vector_size ty)))
440
+
441
+ (rule (lower (has_type (ty_scalar_float ty) (sqrt x)))
442
+ (fpu_rr (FPUOp1.Sqrt) x (scalar_size ty)))
443
+
444
+ ;;;; Rules for `fneg` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
445
+
446
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (fneg x)))
447
+ (vec_misc (VecMisc2.Fneg) x (vector_size ty)))
448
+
449
+ (rule (lower (has_type (ty_scalar_float ty) (fneg x)))
450
+ (fpu_rr (FPUOp1.Neg) x (scalar_size ty)))
451
+
452
+ ;;;; Rules for `fabs` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
453
+
454
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (fabs x)))
455
+ (vec_misc (VecMisc2.Fabs) x (vector_size ty)))
456
+
457
+ (rule (lower (has_type (ty_scalar_float ty) (fabs x)))
458
+ (fpu_rr (FPUOp1.Abs) x (scalar_size ty)))
459
+
460
+ ;;;; Rules for `fpromote` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
461
+
462
+ (rule (lower (has_type $F64 (fpromote x)))
463
+ (fpu_rr (FPUOp1.Cvt32To64) x (ScalarSize.Size32)))
464
+
465
+ ;;;; Rules for `fdemote` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
466
+
467
+ (rule (lower (has_type $F32 (fdemote x)))
468
+ (fpu_rr (FPUOp1.Cvt64To32) x (ScalarSize.Size64)))
469
+
470
+ ;;;; Rules for `ceil` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
471
+
472
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (ceil x)))
473
+ (vec_misc (VecMisc2.Frintp) x (vector_size ty)))
474
+
475
+ (rule (lower (has_type $F32 (ceil x)))
476
+ (fpu_round (FpuRoundMode.Plus32) x))
477
+
478
+ (rule (lower (has_type $F64 (ceil x)))
479
+ (fpu_round (FpuRoundMode.Plus64) x))
480
+
481
+ ;;;; Rules for `floor` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
482
+
483
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (floor x)))
484
+ (vec_misc (VecMisc2.Frintm) x (vector_size ty)))
485
+
486
+ (rule (lower (has_type $F32 (floor x)))
487
+ (fpu_round (FpuRoundMode.Minus32) x))
488
+
489
+ (rule (lower (has_type $F64 (floor x)))
490
+ (fpu_round (FpuRoundMode.Minus64) x))
491
+
492
+ ;;;; Rules for `trunc` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
493
+
494
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (trunc x)))
495
+ (vec_misc (VecMisc2.Frintz) x (vector_size ty)))
496
+
497
+ (rule (lower (has_type $F32 (trunc x)))
498
+ (fpu_round (FpuRoundMode.Zero32) x))
499
+
500
+ (rule (lower (has_type $F64 (trunc x)))
501
+ (fpu_round (FpuRoundMode.Zero64) x))
502
+
503
+ ;;;; Rules for `nearest` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
504
+
505
+ (rule -1 (lower (has_type ty @ (multi_lane _ _) (nearest x)))
506
+ (vec_misc (VecMisc2.Frintn) x (vector_size ty)))
507
+
508
+ (rule (lower (has_type $F32 (nearest x)))
509
+ (fpu_round (FpuRoundMode.Nearest32) x))
510
+
511
+ (rule (lower (has_type $F64 (nearest x)))
512
+ (fpu_round (FpuRoundMode.Nearest64) x))
513
+
514
+ ;;;; Rules for `fma` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
515
+
516
+ (rule (lower (has_type (ty_scalar_float ty) (fma x y z)))
517
+ (fpu_rrrr (FPUOp3.MAdd) (scalar_size ty) x y z))
518
+
519
+ ;; Delegate vector-based lowerings to helpers below
520
+ (rule 1 (lower (has_type ty @ (multi_lane _ _) (fma x y z)))
521
+ (lower_fmla (VecALUModOp.Fmla) x y z (vector_size ty)))
522
+
523
+ ;; Lowers a fused-multiply-add operation handling various forms of the
524
+ ;; instruction to get maximal coverage of what's available on AArch64.
525
+ (decl lower_fmla (VecALUModOp Value Value Value VectorSize) Reg)
526
+
527
+ ;; Base case, emit the op requested.
528
+ (rule (lower_fmla op x y z size)
529
+ (vec_rrr_mod op z x y size))
530
+
531
+ ;; Special case: if one of the multiplicands are a splat then the element-based
532
+ ;; fma can be used instead with 0 as the element index.
533
+ (rule 1 (lower_fmla op (splat x) y z size)
534
+ (vec_fmla_elem op z y x size 0))
535
+ (rule 2 (lower_fmla op x (splat y) z size)
536
+ (vec_fmla_elem op z x y size 0))
537
+
538
+ ;; Special case: if one of the multiplicands is a shuffle to broadcast a
539
+ ;; single element of a vector then the element-based fma can be used like splat
540
+ ;; above.
541
+ ;;
542
+ ;; Note that in Cranelift shuffle always has i8x16 inputs and outputs so
543
+ ;; a `bitcast` is matched here explicitly since that's the main way a shuffle
544
+ ;; output will be fed into this instruction.
545
+ (rule 3 (lower_fmla op (bitcast _ (shuffle x x (shuffle32_from_imm n n n n))) y z size @ (VectorSize.Size32x4))
546
+ (if-let $true (u64_lt n 4))
547
+ (vec_fmla_elem op z y x size n))
548
+ (rule 4 (lower_fmla op x (bitcast _ (shuffle y y (shuffle32_from_imm n n n n))) z size @ (VectorSize.Size32x4))
549
+ (if-let $true (u64_lt n 4))
550
+ (vec_fmla_elem op z x y size n))
551
+ (rule 3 (lower_fmla op (bitcast _ (shuffle x x (shuffle64_from_imm n n))) y z size @ (VectorSize.Size64x2))
552
+ (if-let $true (u64_lt n 2))
553
+ (vec_fmla_elem op z y x size n))
554
+ (rule 4 (lower_fmla op x (bitcast _ (shuffle y y (shuffle64_from_imm n n))) z size @ (VectorSize.Size64x2))
555
+ (if-let $true (u64_lt n 2))
556
+ (vec_fmla_elem op z x y size n))
557
+
558
+ ;; Special case: if one of the multiplicands is `fneg` then peel that away,
559
+ ;; reverse the operation being performed, and then recurse on `lower_fmla`
560
+ ;; again to generate the actual instruction.
561
+ ;;
562
+ ;; Note that these are the highest priority cases for `lower_fmla` to peel
563
+ ;; away as many `fneg` operations as possible.
564
+ (rule 5 (lower_fmla op (fneg x) y z size)
565
+ (lower_fmla (neg_fmla op) x y z size))
566
+ (rule 6 (lower_fmla op x (fneg y) z size)
567
+ (lower_fmla (neg_fmla op) x y z size))
568
+
569
+ (decl neg_fmla (VecALUModOp) VecALUModOp)
570
+ (rule (neg_fmla (VecALUModOp.Fmla)) (VecALUModOp.Fmls))
571
+ (rule (neg_fmla (VecALUModOp.Fmls)) (VecALUModOp.Fmla))
572
+
573
+ ;;;; Rules for `fcopysign` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
574
+
575
+ (rule (lower (has_type ty (fcopysign x y)))
576
+ (fcopy_sign x y ty))
577
+
578
+ ;;;; Rules for `fcvt_to_uint` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
579
+
580
+ (rule (lower (has_type (fits_in_32 out_ty) (fcvt_to_uint x @ (value_type $F32))))
581
+ (fpu_to_int_cvt (FpuToIntOp.F32ToU32) x $false $F32 out_ty))
582
+
583
+ (rule 1 (lower (has_type $I64 (fcvt_to_uint x @ (value_type $F32))))
584
+ (fpu_to_int_cvt (FpuToIntOp.F32ToU64) x $false $F32 $I64))
585
+
586
+ (rule (lower (has_type (fits_in_32 out_ty) (fcvt_to_uint x @ (value_type $F64))))
587
+ (fpu_to_int_cvt (FpuToIntOp.F64ToU32) x $false $F64 out_ty))
588
+
589
+ (rule 1 (lower (has_type $I64 (fcvt_to_uint x @ (value_type $F64))))
590
+ (fpu_to_int_cvt (FpuToIntOp.F64ToU64) x $false $F64 $I64))
591
+
592
+ ;;;; Rules for `fcvt_to_sint` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
593
+
594
+ (rule (lower (has_type (fits_in_32 out_ty) (fcvt_to_sint x @ (value_type $F32))))
595
+ (fpu_to_int_cvt (FpuToIntOp.F32ToI32) x $true $F32 out_ty))
596
+
597
+ (rule 1 (lower (has_type $I64 (fcvt_to_sint x @ (value_type $F32))))
598
+ (fpu_to_int_cvt (FpuToIntOp.F32ToI64) x $true $F32 $I64))
599
+
600
+ (rule (lower (has_type (fits_in_32 out_ty) (fcvt_to_sint x @ (value_type $F64))))
601
+ (fpu_to_int_cvt (FpuToIntOp.F64ToI32) x $true $F64 out_ty))
602
+
603
+ (rule 1 (lower (has_type $I64 (fcvt_to_sint x @ (value_type $F64))))
604
+ (fpu_to_int_cvt (FpuToIntOp.F64ToI64) x $true $F64 $I64))
605
+
606
+ ;;;; Rules for `fcvt_from_uint` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
607
+
608
+ (rule -1 (lower (has_type ty @ (multi_lane 32 _) (fcvt_from_uint x @ (value_type (multi_lane 32 _)))))
609
+ (vec_misc (VecMisc2.Ucvtf) x (vector_size ty)))
610
+
611
+ (rule -1 (lower (has_type ty @ (multi_lane 64 _) (fcvt_from_uint x @ (value_type (multi_lane 64 _)))))
612
+ (vec_misc (VecMisc2.Ucvtf) x (vector_size ty)))
613
+
614
+ (rule (lower (has_type $F32 (fcvt_from_uint x @ (value_type (fits_in_32 _)))))
615
+ (int_to_fpu (IntToFpuOp.U32ToF32) (put_in_reg_zext32 x)))
616
+
617
+ (rule (lower (has_type $F64 (fcvt_from_uint x @ (value_type (fits_in_32 _)))))
618
+ (int_to_fpu (IntToFpuOp.U32ToF64) (put_in_reg_zext32 x)))
619
+
620
+ (rule 1 (lower (has_type $F32 (fcvt_from_uint x @ (value_type $I64))))
621
+ (int_to_fpu (IntToFpuOp.U64ToF32) x))
622
+
623
+ (rule 1 (lower (has_type $F64 (fcvt_from_uint x @ (value_type $I64))))
624
+ (int_to_fpu (IntToFpuOp.U64ToF64) x))
625
+
626
+ ;;;; Rules for `fcvt_from_sint` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
627
+
628
+ (rule -1 (lower (has_type ty @ (multi_lane 32 _) (fcvt_from_sint x @ (value_type (multi_lane 32 _)))))
629
+ (vec_misc (VecMisc2.Scvtf) x (vector_size ty)))
630
+
631
+ (rule -1 (lower (has_type ty @ (multi_lane 64 _) (fcvt_from_sint x @ (value_type (multi_lane 64 _)))))
632
+ (vec_misc (VecMisc2.Scvtf) x (vector_size ty)))
633
+
634
+ (rule (lower (has_type $F32 (fcvt_from_sint x @ (value_type (fits_in_32 _)))))
635
+ (int_to_fpu (IntToFpuOp.I32ToF32) (put_in_reg_sext32 x)))
636
+
637
+ (rule (lower (has_type $F64 (fcvt_from_sint x @ (value_type (fits_in_32 _)))))
638
+ (int_to_fpu (IntToFpuOp.I32ToF64) (put_in_reg_sext32 x)))
639
+
640
+ (rule 1 (lower (has_type $F32 (fcvt_from_sint x @ (value_type $I64))))
641
+ (int_to_fpu (IntToFpuOp.I64ToF32) x))
642
+
643
+ (rule 1 (lower (has_type $F64 (fcvt_from_sint x @ (value_type $I64))))
644
+ (int_to_fpu (IntToFpuOp.I64ToF64) x))
645
+
646
+ ;;;; Rules for `fcvt_to_uint_sat` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
647
+
648
+ (rule -1 (lower (has_type ty @ (multi_lane 32 _) (fcvt_to_uint_sat x @ (value_type (multi_lane 32 _)))))
649
+ (vec_misc (VecMisc2.Fcvtzu) x (vector_size ty)))
650
+
651
+ (rule -1 (lower (has_type ty @ (multi_lane 64 _) (fcvt_to_uint_sat x @ (value_type (multi_lane 64 _)))))
652
+ (vec_misc (VecMisc2.Fcvtzu) x (vector_size ty)))
653
+
654
+ (rule (lower (has_type (fits_in_32 out_ty) (fcvt_to_uint_sat x @ (value_type $F32))))
655
+ (fpu_to_int_cvt_sat (FpuToIntOp.F32ToU32) x $false out_ty))
656
+
657
+ (rule 1 (lower (has_type $I64 (fcvt_to_uint_sat x @ (value_type $F32))))
658
+ (fpu_to_int_cvt_sat (FpuToIntOp.F32ToU64) x $false $I64))
659
+
660
+ (rule (lower (has_type (fits_in_32 out_ty) (fcvt_to_uint_sat x @ (value_type $F64))))
661
+ (fpu_to_int_cvt_sat (FpuToIntOp.F64ToU32) x $false out_ty))
662
+
663
+ (rule 1 (lower (has_type $I64 (fcvt_to_uint_sat x @ (value_type $F64))))
664
+ (fpu_to_int_cvt_sat (FpuToIntOp.F64ToU64) x $false $I64))
665
+
666
+ ;;;; Rules for `fcvt_to_sint_sat` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
667
+
668
+ (rule -1 (lower (has_type ty @ (multi_lane 32 _) (fcvt_to_sint_sat x @ (value_type (multi_lane 32 _)))))
669
+ (vec_misc (VecMisc2.Fcvtzs) x (vector_size ty)))
670
+
671
+ (rule -1 (lower (has_type ty @ (multi_lane 64 _) (fcvt_to_sint_sat x @ (value_type (multi_lane 64 _)))))
672
+ (vec_misc (VecMisc2.Fcvtzs) x (vector_size ty)))
673
+
674
+ (rule (lower (has_type (fits_in_32 out_ty) (fcvt_to_sint_sat x @ (value_type $F32))))
675
+ (fpu_to_int_cvt_sat (FpuToIntOp.F32ToI32) x $true out_ty))
676
+
677
+ (rule 1 (lower (has_type $I64 (fcvt_to_sint_sat x @ (value_type $F32))))
678
+ (fpu_to_int_cvt_sat (FpuToIntOp.F32ToI64) x $true $I64))
679
+
680
+ (rule (lower (has_type (fits_in_32 out_ty) (fcvt_to_sint_sat x @ (value_type $F64))))
681
+ (fpu_to_int_cvt_sat (FpuToIntOp.F64ToI32) x $true out_ty))
682
+
683
+ (rule 1 (lower (has_type $I64 (fcvt_to_sint_sat x @ (value_type $F64))))
684
+ (fpu_to_int_cvt_sat (FpuToIntOp.F64ToI64) x $true $I64))
685
+
686
+ ;;;; Rules for `isub` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
687
+
688
+ ;; `i64` and smaller
689
+
690
+ ;; Base case, simply subtracting things in registers.
691
+ (rule -4 (lower (has_type (fits_in_64 ty) (isub x y)))
692
+ (sub ty x y))
693
+
694
+ ;; Special case for when one operand is an immediate that fits in 12 bits.
695
+ (rule 0 (lower (has_type (fits_in_64 ty) (isub x (imm12_from_value y))))
696
+ (sub_imm ty x y))
697
+
698
+ ;; Same as the previous special case, except we can switch the subtraction to an
699
+ ;; addition if the negated immediate fits in 12 bits.
700
+ (rule 2 (lower (has_type (fits_in_64 ty) (isub x y)))
701
+ (if-let imm12_neg (imm12_from_negated_value y))
702
+ (add_imm ty x imm12_neg))
703
+
704
+ ;; Special cases for when we're subtracting an extended register where the
705
+ ;; extending operation can get folded into the sub itself.
706
+ (rule 1 (lower (has_type (fits_in_64 ty) (isub x (extended_value_from_value y))))
707
+ (sub_extend ty x y))
708
+
709
+ ;; Finally a special case for when we're subtracting the shift of a different
710
+ ;; register by a constant amount and the shift can get folded into the sub.
711
+ (rule -3 (lower (has_type (fits_in_64 ty)
712
+ (isub x (ishl y (iconst k)))))
713
+ (if-let amt (lshl_from_imm64 ty k))
714
+ (sub_shift ty x y amt))
715
+
716
+ ;; vectors
717
+ (rule -2 (lower (has_type ty @ (multi_lane _ _) (isub x y)))
718
+ (sub_vec x y (vector_size ty)))
719
+
720
+ ;; `i128`
721
+ (rule -1 (lower (has_type $I128 (isub x y)))
722
+ (sub_i128 x y))
723
+
724
+ ;;;; Rules for `uadd_sat` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
725
+
726
+ (rule (lower (has_type (ty_vec128 ty) (uadd_sat x y)))
727
+ (uqadd x y (vector_size ty)))
728
+
729
+ ;;;; Rules for `sadd_sat` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
730
+
731
+ (rule (lower (has_type (ty_vec128 ty) (sadd_sat x y)))
732
+ (sqadd x y (vector_size ty)))
733
+
734
+ ;;;; Rules for `usub_sat` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
735
+
736
+ (rule (lower (has_type (ty_vec128 ty) (usub_sat x y)))
737
+ (uqsub x y (vector_size ty)))
738
+
739
+ ;;;; Rules for `ssub_sat` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
740
+
741
+ (rule (lower (has_type (ty_vec128 ty) (ssub_sat x y)))
742
+ (sqsub x y (vector_size ty)))
743
+
744
+ ;;;; Rules for `ineg` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
745
+
746
+ ;; `i64` and smaller.
747
+ (rule 1 (lower (has_type (fits_in_64 ty) (ineg x)))
748
+ (sub ty (zero_reg) x))
749
+
750
+ ;; `i128`
751
+ (rule 2 (lower (has_type $I128 (ineg x)))
752
+ (sub_i128 (value_regs_zero) x))
753
+
754
+ ;; vectors.
755
+ (rule (lower (has_type (ty_vec128 ty) (ineg x)))
756
+ (neg x (vector_size ty)))
757
+
758
+ ;;;; Rules for `imul` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
759
+
760
+ ;; `i64` and smaller.
761
+ (rule -3 (lower (has_type (fits_in_64 ty) (imul x y)))
762
+ (madd ty x y (zero_reg)))
763
+
764
+ ;; `i128`.
765
+ (rule -1 (lower (has_type $I128 (imul x y)))
766
+ (let
767
+ ;; Get the high/low registers for `x`.
768
+ ((x_regs ValueRegs x)
769
+ (x_lo Reg (value_regs_get x_regs 0))
770
+ (x_hi Reg (value_regs_get x_regs 1))
771
+
772
+ ;; Get the high/low registers for `y`.
773
+ (y_regs ValueRegs y)
774
+ (y_lo Reg (value_regs_get y_regs 0))
775
+ (y_hi Reg (value_regs_get y_regs 1))
776
+
777
+ ;; 128bit mul formula:
778
+ ;; dst_lo = x_lo * y_lo
779
+ ;; dst_hi = umulhi(x_lo, y_lo) + (x_lo * y_hi) + (x_hi * y_lo)
780
+ ;;
781
+ ;; We can convert the above formula into the following
782
+ ;; umulh dst_hi, x_lo, y_lo
783
+ ;; madd dst_hi, x_lo, y_hi, dst_hi
784
+ ;; madd dst_hi, x_hi, y_lo, dst_hi
785
+ ;; madd dst_lo, x_lo, y_lo, zero
786
+ (dst_hi1 Reg (umulh $I64 x_lo y_lo))
787
+ (dst_hi2 Reg (madd $I64 x_lo y_hi dst_hi1))
788
+ (dst_hi Reg (madd $I64 x_hi y_lo dst_hi2))
789
+ (dst_lo Reg (madd $I64 x_lo y_lo (zero_reg))))
790
+ (value_regs dst_lo dst_hi)))
791
+
792
+ ;; Case for i8x16, i16x8, and i32x4.
793
+ (rule -2 (lower (has_type (ty_vec128 ty @ (not_i64x2)) (imul x y)))
794
+ (mul x y (vector_size ty)))
795
+
796
+ ;; Special lowering for i64x2.
797
+ ;;
798
+ ;; This I64X2 multiplication is performed with several 32-bit
799
+ ;; operations.
800
+ ;;
801
+ ;; 64-bit numbers x and y, can be represented as:
802
+ ;; x = a + 2^32(b)
803
+ ;; y = c + 2^32(d)
804
+ ;;
805
+ ;; A 64-bit multiplication is:
806
+ ;; x * y = ac + 2^32(ad + bc) + 2^64(bd)
807
+ ;; note: `2^64(bd)` can be ignored, the value is too large to fit in
808
+ ;; 64 bits.
809
+ ;;
810
+ ;; This sequence implements a I64X2 multiply, where the registers
811
+ ;; `rn` and `rm` are split up into 32-bit components:
812
+ ;; rn = |d|c|b|a|
813
+ ;; rm = |h|g|f|e|
814
+ ;;
815
+ ;; rn * rm = |cg + 2^32(ch + dg)|ae + 2^32(af + be)|
816
+ ;;
817
+ ;; The sequence is:
818
+ ;; rev64 rd.4s, rm.4s
819
+ ;; mul rd.4s, rd.4s, rn.4s
820
+ ;; xtn tmp1.2s, rn.2d
821
+ ;; addp rd.4s, rd.4s, rd.4s
822
+ ;; xtn tmp2.2s, rm.2d
823
+ ;; shll rd.2d, rd.2s, #32
824
+ ;; umlal rd.2d, tmp2.2s, tmp1.2s
825
+ (rule -1 (lower (has_type $I64X2 (imul x y)))
826
+ (let ((rn Reg x)
827
+ (rm Reg y)
828
+ ;; Reverse the 32-bit elements in the 64-bit words.
829
+ ;; rd = |g|h|e|f|
830
+ (rev Reg (rev64 rm (VectorSize.Size32x4)))
831
+
832
+ ;; Calculate the high half components.
833
+ ;; rd = |dg|ch|be|af|
834
+ ;;
835
+ ;; Note that this 32-bit multiply of the high half
836
+ ;; discards the bits that would overflow, same as
837
+ ;; if 64-bit operations were used. Also the Shll
838
+ ;; below would shift out the overflow bits anyway.
839
+ (mul Reg (mul rev rn (VectorSize.Size32x4)))
840
+
841
+ ;; Extract the low half components of rn.
842
+ ;; tmp1 = |c|a|
843
+ (tmp1 Reg (xtn rn (ScalarSize.Size32)))
844
+
845
+ ;; Sum the respective high half components.
846
+ ;; rd = |dg+ch|be+af||dg+ch|be+af|
847
+ (sum Reg (addp mul mul (VectorSize.Size32x4)))
848
+
849
+ ;; Extract the low half components of rm.
850
+ ;; tmp2 = |g|e|
851
+ (tmp2 Reg (xtn rm (ScalarSize.Size32)))
852
+
853
+ ;; Shift the high half components, into the high half.
854
+ ;; rd = |dg+ch << 32|be+af << 32|
855
+ (shift Reg (shll32 sum $false))
856
+
857
+ ;; Multiply the low components together, and accumulate with the high
858
+ ;; half.
859
+ ;; rd = |rd[1] + cg|rd[0] + ae|
860
+ (result Reg (umlal32 shift tmp2 tmp1 $false)))
861
+ result))
862
+
863
+ ;; Special case for `i16x8.extmul_low_i8x16_s`.
864
+ (rule (lower (has_type $I16X8
865
+ (imul (swiden_low x @ (value_type $I8X16))
866
+ (swiden_low y @ (value_type $I8X16)))))
867
+ (smull8 x y $false))
868
+
869
+ ;; Special case for `i16x8.extmul_high_i8x16_s`.
870
+ (rule (lower (has_type $I16X8
871
+ (imul (swiden_high x @ (value_type $I8X16))
872
+ (swiden_high y @ (value_type $I8X16)))))
873
+ (smull8 x y $true))
874
+
875
+ ;; Special case for `i16x8.extmul_low_i8x16_u`.
876
+ (rule (lower (has_type $I16X8
877
+ (imul (uwiden_low x @ (value_type $I8X16))
878
+ (uwiden_low y @ (value_type $I8X16)))))
879
+ (umull8 x y $false))
880
+
881
+ ;; Special case for `i16x8.extmul_high_i8x16_u`.
882
+ (rule (lower (has_type $I16X8
883
+ (imul (uwiden_high x @ (value_type $I8X16))
884
+ (uwiden_high y @ (value_type $I8X16)))))
885
+ (umull8 x y $true))
886
+
887
+ ;; Special case for `i32x4.extmul_low_i16x8_s`.
888
+ (rule (lower (has_type $I32X4
889
+ (imul (swiden_low x @ (value_type $I16X8))
890
+ (swiden_low y @ (value_type $I16X8)))))
891
+ (smull16 x y $false))
892
+
893
+ ;; Special case for `i32x4.extmul_high_i16x8_s`.
894
+ (rule (lower (has_type $I32X4
895
+ (imul (swiden_high x @ (value_type $I16X8))
896
+ (swiden_high y @ (value_type $I16X8)))))
897
+ (smull16 x y $true))
898
+
899
+ ;; Special case for `i32x4.extmul_low_i16x8_u`.
900
+ (rule (lower (has_type $I32X4
901
+ (imul (uwiden_low x @ (value_type $I16X8))
902
+ (uwiden_low y @ (value_type $I16X8)))))
903
+ (umull16 x y $false))
904
+
905
+ ;; Special case for `i32x4.extmul_high_i16x8_u`.
906
+ (rule (lower (has_type $I32X4
907
+ (imul (uwiden_high x @ (value_type $I16X8))
908
+ (uwiden_high y @ (value_type $I16X8)))))
909
+ (umull16 x y $true))
910
+
911
+ ;; Special case for `i64x2.extmul_low_i32x4_s`.
912
+ (rule (lower (has_type $I64X2
913
+ (imul (swiden_low x @ (value_type $I32X4))
914
+ (swiden_low y @ (value_type $I32X4)))))
915
+ (smull32 x y $false))
916
+
917
+ ;; Special case for `i64x2.extmul_high_i32x4_s`.
918
+ (rule (lower (has_type $I64X2
919
+ (imul (swiden_high x @ (value_type $I32X4))
920
+ (swiden_high y @ (value_type $I32X4)))))
921
+ (smull32 x y $true))
922
+
923
+ ;; Special case for `i64x2.extmul_low_i32x4_u`.
924
+ (rule (lower (has_type $I64X2
925
+ (imul (uwiden_low x @ (value_type $I32X4))
926
+ (uwiden_low y @ (value_type $I32X4)))))
927
+ (umull32 x y $false))
928
+
929
+ ;; Special case for `i64x2.extmul_high_i32x4_u`.
930
+ (rule (lower (has_type $I64X2
931
+ (imul (uwiden_high x @ (value_type $I32X4))
932
+ (uwiden_high y @ (value_type $I32X4)))))
933
+ (umull32 x y $true))
934
+
935
+ ;;;; Rules for `smulhi` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
936
+
937
+ (rule 1 (lower (has_type $I64 (smulhi x y)))
938
+ (smulh $I64 x y))
939
+
940
+ (rule (lower (has_type (fits_in_32 ty) (smulhi x y)))
941
+ (let ((x64 Reg (put_in_reg_sext64 x))
942
+ (y64 Reg (put_in_reg_sext64 y))
943
+ (mul Reg (madd $I64 x64 y64 (zero_reg)))
944
+ (result Reg (asr_imm $I64 mul (imm_shift_from_u8 (ty_bits ty)))))
945
+ result))
946
+
947
+ ;;;; Rules for `umulhi` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
948
+
949
+ (rule 1 (lower (has_type $I64 (umulhi x y)))
950
+ (umulh $I64 x y))
951
+
952
+ (rule (lower (has_type (fits_in_32 ty) (umulhi x y)))
953
+ (let (
954
+ (x64 Reg (put_in_reg_zext64 x))
955
+ (y64 Reg (put_in_reg_zext64 y))
956
+ (mul Reg (madd $I64 x64 y64 (zero_reg)))
957
+ (result Reg (lsr_imm $I64 mul (imm_shift_from_u8 (ty_bits ty))))
958
+ )
959
+ (value_reg result)))
960
+
961
+ ;;;; Rules for `udiv` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
962
+
963
+ ;; TODO: Add UDiv32 to implement 32-bit directly, rather
964
+ ;; than extending the input.
965
+ ;;
966
+ ;; Note that aarch64's `udiv` doesn't trap so to respect the semantics of
967
+ ;; CLIF's `udiv` the check for zero needs to be manually performed.
968
+ (rule (lower (has_type (fits_in_64 ty) (udiv x y)))
969
+ (a64_udiv $I64 (put_in_reg_zext64 x) (put_nonzero_in_reg_zext64 y)))
970
+
971
+ ;; Helper for placing a `Value` into a `Reg` and validating that it's nonzero.
972
+ (decl put_nonzero_in_reg_zext64 (Value) Reg)
973
+ (rule -1 (put_nonzero_in_reg_zext64 val)
974
+ (trap_if_zero_divisor (put_in_reg_zext64 val)))
975
+
976
+ ;; Special case where if a `Value` is known to be nonzero we can trivially
977
+ ;; move it into a register.
978
+ (rule (put_nonzero_in_reg_zext64 (and (value_type ty)
979
+ (iconst (nonzero_u64_from_imm64 n))))
980
+ (imm ty (ImmExtend.Zero) n))
981
+
982
+ ;;;; Rules for `sdiv` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
983
+
984
+ ;; TODO: Add SDiv32 to implement 32-bit directly, rather
985
+ ;; than extending the input.
986
+ ;;
987
+ ;; The sequence of checks here should look like:
988
+ ;;
989
+ ;; cbnz rm, #8
990
+ ;; udf ; divide by zero
991
+ ;; cmn rm, 1
992
+ ;; ccmp rn, 1, #nzcv, eq
993
+ ;; b.vc #8
994
+ ;; udf ; signed overflow
995
+ ;;
996
+ ;; Note The div instruction does not trap on divide by zero or overflow, so
997
+ ;; checks need to be manually inserted.
998
+ ;;
999
+ ;; TODO: if `y` is -1 then a check that `x` is not INT_MIN is all that's
1000
+ ;; necessary, but right now `y` is checked to not be -1 as well.
1001
+ (rule (lower (has_type (fits_in_64 ty) (sdiv x y)))
1002
+ (let ((x64 Reg (put_in_reg_sext64 x))
1003
+ (y64 Reg (put_nonzero_in_reg_sext64 y))
1004
+ (valid_x64 Reg (trap_if_div_overflow ty x64 y64))
1005
+ (result Reg (a64_sdiv $I64 valid_x64 y64)))
1006
+ result))
1007
+
1008
+ ;; Special case for `sdiv` where no checks are needed due to division by a
1009
+ ;; constant meaning the checks are always passed.
1010
+ (rule 1 (lower (has_type (fits_in_64 ty) (sdiv x (iconst imm))))
1011
+ (if-let y (safe_divisor_from_imm64 ty imm))
1012
+ (a64_sdiv $I64 (put_in_reg_sext64 x) (imm ty (ImmExtend.Sign) y)))
1013
+
1014
+ ;; Helper for placing a `Value` into a `Reg` and validating that it's nonzero.
1015
+ (decl put_nonzero_in_reg_sext64 (Value) Reg)
1016
+ (rule -1 (put_nonzero_in_reg_sext64 val)
1017
+ (trap_if_zero_divisor (put_in_reg_sext64 val)))
1018
+
1019
+ ;; Note that this has a special case where if the `Value` is a constant that's
1020
+ ;; not zero we can skip the zero check.
1021
+ (rule (put_nonzero_in_reg_sext64 (and (value_type ty)
1022
+ (iconst (nonzero_u64_from_imm64 n))))
1023
+ (imm ty (ImmExtend.Sign) n))
1024
+
1025
+ ;;;; Rules for `urem` and `srem` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1026
+
1027
+ ;; Remainder (x % y) is implemented as:
1028
+ ;;
1029
+ ;; tmp = x / y
1030
+ ;; result = x - (tmp*y)
1031
+ ;;
1032
+ ;; use 'result' for tmp and you have:
1033
+ ;;
1034
+ ;; cbnz y, #8 ; branch over trap
1035
+ ;; udf ; divide by zero
1036
+ ;; div rd, x, y ; rd = x / y
1037
+ ;; msub rd, rd, y, x ; rd = x - rd * y
1038
+
1039
+ (rule (lower (has_type (fits_in_64 ty) (urem x y)))
1040
+ (let ((x64 Reg (put_in_reg_zext64 x))
1041
+ (y64 Reg (put_nonzero_in_reg_zext64 y))
1042
+ (div Reg (a64_udiv $I64 x64 y64))
1043
+ (result Reg (msub $I64 div y64 x64)))
1044
+ result))
1045
+
1046
+ (rule (lower (has_type (fits_in_64 ty) (srem x y)))
1047
+ (let ((x64 Reg (put_in_reg_sext64 x))
1048
+ (y64 Reg (put_nonzero_in_reg_sext64 y))
1049
+ (div Reg (a64_sdiv $I64 x64 y64))
1050
+ (result Reg (msub $I64 div y64 x64)))
1051
+ result))
1052
+
1053
+ ;;; Rules for integer min/max: umin, smin, umax, smax ;;;;;;;;;;;;;;;;;;;;;;;;;
1054
+
1055
+ ;; `i64` and smaller.
1056
+
1057
+ ;; cmp $x, $y
1058
+ ;; csel .., $x, $y, $cc
1059
+ (decl cmp_and_choose (Type Cond bool Value Value) ValueRegs)
1060
+ (rule (cmp_and_choose (fits_in_64 ty) cc _ x y)
1061
+ (let ((x Reg (put_in_reg x))
1062
+ (y Reg (put_in_reg y)))
1063
+ (with_flags_reg (cmp (operand_size ty) x y)
1064
+ (csel cc x y))))
1065
+
1066
+ ;; `i16` and `i8` min/max require sign extension as
1067
+ ;; the comparison operates on (at least) 32 bits.
1068
+ (rule 1 (cmp_and_choose (fits_in_16 ty) cc signed x y)
1069
+ (let ((x Reg (extend (put_in_reg x) signed (ty_bits ty) 32))
1070
+ (y Reg (extend (put_in_reg y) signed (ty_bits ty) 32)))
1071
+ (with_flags_reg (cmp (operand_size ty) x y)
1072
+ (csel cc x y))))
1073
+
1074
+ (rule 2 (lower (has_type (and (fits_in_64 ty) (ty_int _)) (umin x y)))
1075
+ (cmp_and_choose ty (Cond.Lo) $false x y))
1076
+ (rule 2 (lower (has_type (and (fits_in_64 ty) (ty_int _)) (smin x y)))
1077
+ (cmp_and_choose ty (Cond.Lt) $true x y))
1078
+ (rule 2 (lower (has_type (and (fits_in_64 ty) (ty_int _)) (umax x y)))
1079
+ (cmp_and_choose ty (Cond.Hi) $false x y))
1080
+ (rule 2 (lower (has_type (and (fits_in_64 ty) (ty_int _)) (smax x y)))
1081
+ (cmp_and_choose ty (Cond.Gt) $true x y))
1082
+
1083
+ ;; Vector types.
1084
+
1085
+ (rule (lower (has_type ty @ (not_i64x2) (smin x y)))
1086
+ (vec_rrr (VecALUOp.Smin) x y (vector_size ty)))
1087
+
1088
+ (rule 1 (lower (has_type $I64X2 (smin x y)))
1089
+ (bsl $I64X2 (vec_rrr (VecALUOp.Cmgt) y x (VectorSize.Size64x2)) x y))
1090
+
1091
+ (rule (lower (has_type ty @ (not_i64x2) (umin x y)))
1092
+ (vec_rrr (VecALUOp.Umin) x y (vector_size ty)))
1093
+
1094
+ (rule 1 (lower (has_type $I64X2 (umin x y)))
1095
+ (bsl $I64X2 (vec_rrr (VecALUOp.Cmhi) y x (VectorSize.Size64x2)) x y))
1096
+
1097
+ (rule (lower (has_type ty @ (not_i64x2) (smax x y)))
1098
+ (vec_rrr (VecALUOp.Smax) x y (vector_size ty)))
1099
+
1100
+ (rule 1 (lower (has_type $I64X2 (smax x y)))
1101
+ (bsl $I64X2 (vec_rrr (VecALUOp.Cmgt) x y (VectorSize.Size64x2)) x y))
1102
+
1103
+ (rule (lower (has_type ty @ (not_i64x2) (umax x y)))
1104
+ (vec_rrr (VecALUOp.Umax) x y (vector_size ty)))
1105
+
1106
+ (rule 1 (lower (has_type $I64X2 (umax x y)))
1107
+ (bsl $I64X2 (vec_rrr (VecALUOp.Cmhi) x y (VectorSize.Size64x2)) x y))
1108
+
1109
+ ;;;; Rules for `uextend` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1110
+
1111
+ ;; General rule for extending input to an output which fits in a single
1112
+ ;; register.
1113
+ (rule -2 (lower (has_type (fits_in_64 out) (uextend x @ (value_type in))))
1114
+ (extend x $false (ty_bits in) (ty_bits out)))
1115
+
1116
+ ;; Extraction of a vector lane automatically extends as necessary, so we can
1117
+ ;; skip an explicit extending instruction.
1118
+ (rule 1 (lower (has_type (fits_in_64 out)
1119
+ (uextend (extractlane vec @ (value_type in)
1120
+ (u8_from_uimm8 lane)))))
1121
+ (mov_from_vec (put_in_reg vec) lane (lane_size in)))
1122
+
1123
+ ;; Atomic loads will also automatically zero their upper bits so the `uextend`
1124
+ ;; instruction can effectively get skipped here.
1125
+ (rule 1 (lower (has_type (fits_in_64 out)
1126
+ (uextend x @ (and (value_type in) (atomic_load flags _)))))
1127
+ (if-let mem_op (is_sinkable_inst x))
1128
+ (load_acquire in flags (sink_atomic_load mem_op)))
1129
+
1130
+ ;; Conversion to 128-bit needs a zero-extension of the lower bits and the upper
1131
+ ;; bits are all zero.
1132
+ (rule -1 (lower (has_type $I128 (uextend x)))
1133
+ (value_regs (put_in_reg_zext64 x) (imm $I64 (ImmExtend.Zero) 0)))
1134
+
1135
+ ;; Like above where vector extraction automatically zero-extends extending to
1136
+ ;; i128 only requires generating a 0 constant for the upper bits.
1137
+ (rule (lower (has_type $I128
1138
+ (uextend (extractlane vec @ (value_type in)
1139
+ (u8_from_uimm8 lane)))))
1140
+ (value_regs (mov_from_vec (put_in_reg vec) lane (lane_size in)) (imm $I64 (ImmExtend.Zero) 0)))
1141
+
1142
+ ;;;; Rules for `sextend` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1143
+
1144
+ ;; General rule for extending input to an output which fits in a single
1145
+ ;; register.
1146
+ (rule -4 (lower (has_type (fits_in_64 out) (sextend x @ (value_type in))))
1147
+ (extend x $true (ty_bits in) (ty_bits out)))
1148
+
1149
+ ;; Extraction of a vector lane automatically extends as necessary, so we can
1150
+ ;; skip an explicit extending instruction.
1151
+ (rule -3 (lower (has_type (fits_in_64 out)
1152
+ (sextend (extractlane vec @ (value_type in)
1153
+ (u8_from_uimm8 lane)))))
1154
+ (mov_from_vec_signed (put_in_reg vec)
1155
+ lane
1156
+ (vector_size in)
1157
+ (size_from_ty out)))
1158
+
1159
+ ;; 64-bit to 128-bit only needs to sign-extend the input to the upper bits.
1160
+ (rule -2 (lower (has_type $I128 (sextend x)))
1161
+ (let ((lo Reg (put_in_reg_sext64 x))
1162
+ (hi Reg (asr_imm $I64 lo (imm_shift_from_u8 63))))
1163
+ (value_regs lo hi)))
1164
+
1165
+ ;; Like above where vector extraction automatically zero-extends extending to
1166
+ ;; i128 only requires generating a 0 constant for the upper bits.
1167
+ ;;
1168
+ ;; Note that `mov_from_vec_signed` doesn't exist for i64x2, so that's
1169
+ ;; specifically excluded here.
1170
+ (rule (lower (has_type $I128
1171
+ (sextend (extractlane vec @ (value_type in @ (not_i64x2))
1172
+ (u8_from_uimm8 lane)))))
1173
+ (let ((lo Reg (mov_from_vec_signed (put_in_reg vec)
1174
+ lane
1175
+ (vector_size in)
1176
+ (size_from_ty $I64)))
1177
+ (hi Reg (asr_imm $I64 lo (imm_shift_from_u8 63))))
1178
+ (value_regs lo hi)))
1179
+
1180
+ ;; Extension from an extraction of i64x2 into i128.
1181
+ (rule -1 (lower (has_type $I128
1182
+ (sextend (extractlane vec @ (value_type $I64X2)
1183
+ (u8_from_uimm8 lane)))))
1184
+ (let ((lo Reg (mov_from_vec (put_in_reg vec)
1185
+ lane
1186
+ (ScalarSize.Size64)))
1187
+ (hi Reg (asr_imm $I64 lo (imm_shift_from_u8 63))))
1188
+ (value_regs lo hi)))
1189
+
1190
+ ;;;; Rules for `bnot` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1191
+
1192
+ ;; Base case using `orn` between two registers.
1193
+ ;;
1194
+ ;; Note that bitwise negation is implemented here as
1195
+ ;;
1196
+ ;; NOT rd, rm ==> ORR_NOT rd, zero, rm
1197
+ (rule -1 (lower (has_type (fits_in_64 ty) (bnot x)))
1198
+ (orr_not ty (zero_reg) x))
1199
+
1200
+ ;; Special case to use `orr_not_shift` if it's a `bnot` of a const-left-shifted
1201
+ ;; value.
1202
+ (rule 1 (lower (has_type (fits_in_64 ty)
1203
+ (bnot (ishl x (iconst k)))))
1204
+ (if-let amt (lshl_from_imm64 ty k))
1205
+ (orr_not_shift ty (zero_reg) x amt))
1206
+
1207
+ ;; Implementation of `bnot` for `i128`.
1208
+ (rule (lower (has_type $I128 (bnot x)))
1209
+ (let ((x_regs ValueRegs x)
1210
+ (x_lo Reg (value_regs_get x_regs 0))
1211
+ (x_hi Reg (value_regs_get x_regs 1))
1212
+ (new_lo Reg (orr_not $I64 (zero_reg) x_lo))
1213
+ (new_hi Reg (orr_not $I64 (zero_reg) x_hi)))
1214
+ (value_regs new_lo new_hi)))
1215
+
1216
+ ;; Implementation of `bnot` for vector types.
1217
+ (rule -2 (lower (has_type (ty_vec128 ty) (bnot x)))
1218
+ (not x (vector_size ty)))
1219
+
1220
+ ;; Special-cases for fusing a bnot with bxor
1221
+ (rule 2 (lower (has_type (fits_in_64 ty) (bnot (bxor x y))))
1222
+ (alu_rs_imm_logic (ALUOp.EorNot) ty x y))
1223
+ (rule 3 (lower (has_type $I128 (bnot (bxor x y)))) (i128_alu_bitop (ALUOp.EorNot) $I64 x y))
1224
+
1225
+ ;;;; Rules for `band` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1226
+
1227
+ (rule -1 (lower (has_type (fits_in_64 ty) (band x y)))
1228
+ (alu_rs_imm_logic_commutative (ALUOp.And) ty x y))
1229
+
1230
+ (rule (lower (has_type $I128 (band x y))) (i128_alu_bitop (ALUOp.And) $I64 x y))
1231
+
1232
+ (rule -2 (lower (has_type (ty_vec128 ty) (band x y)))
1233
+ (and_vec x y (vector_size ty)))
1234
+
1235
+ ;; Specialized lowerings for `(band x (bnot y))` which is additionally produced
1236
+ ;; by Cranelift's `band_not` instruction that is legalized into the simpler
1237
+ ;; forms early on.
1238
+
1239
+ (rule 1 (lower (has_type (fits_in_64 ty) (band x (bnot y))))
1240
+ (alu_rs_imm_logic (ALUOp.AndNot) ty x y))
1241
+ (rule 2 (lower (has_type (fits_in_64 ty) (band (bnot y) x)))
1242
+ (alu_rs_imm_logic (ALUOp.AndNot) ty x y))
1243
+
1244
+ (rule 3 (lower (has_type $I128 (band x (bnot y)))) (i128_alu_bitop (ALUOp.AndNot) $I64 x y))
1245
+ (rule 4 (lower (has_type $I128 (band (bnot y) x))) (i128_alu_bitop (ALUOp.AndNot) $I64 x y))
1246
+
1247
+ (rule 5 (lower (has_type (ty_vec128 ty) (band x (bnot y))))
1248
+ (bic_vec x y (vector_size ty)))
1249
+ (rule 6 (lower (has_type (ty_vec128 ty) (band (bnot y) x)))
1250
+ (bic_vec x y (vector_size ty)))
1251
+
1252
+ ;;;; Rules for `bor` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1253
+
1254
+ (rule -1 (lower (has_type (fits_in_64 ty) (bor x y)))
1255
+ (alu_rs_imm_logic_commutative (ALUOp.Orr) ty x y))
1256
+
1257
+ (rule (lower (has_type $I128 (bor x y))) (i128_alu_bitop (ALUOp.Orr) $I64 x y))
1258
+
1259
+ (rule -2 (lower (has_type (ty_vec128 ty) (bor x y)))
1260
+ (orr_vec x y (vector_size ty)))
1261
+
1262
+ ;; Specialized lowerings for `(bor x (bnot y))` which is additionally produced
1263
+ ;; by Cranelift's `bor_not` instruction that is legalized into the simpler
1264
+ ;; forms early on.
1265
+
1266
+ (rule 1 (lower (has_type (fits_in_64 ty) (bor x (bnot y))))
1267
+ (alu_rs_imm_logic (ALUOp.OrrNot) ty x y))
1268
+ (rule 2 (lower (has_type (fits_in_64 ty) (bor (bnot y) x)))
1269
+ (alu_rs_imm_logic (ALUOp.OrrNot) ty x y))
1270
+
1271
+ (rule 3 (lower (has_type $I128 (bor x (bnot y)))) (i128_alu_bitop (ALUOp.OrrNot) $I64 x y))
1272
+ (rule 4 (lower (has_type $I128 (bor (bnot y) x))) (i128_alu_bitop (ALUOp.OrrNot) $I64 x y))
1273
+
1274
+ ;;;; Rules for `bxor` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1275
+
1276
+ (rule -1 (lower (has_type (fits_in_64 ty) (bxor x y)))
1277
+ (alu_rs_imm_logic_commutative (ALUOp.Eor) ty x y))
1278
+
1279
+ (rule (lower (has_type $I128 (bxor x y))) (i128_alu_bitop (ALUOp.Eor) $I64 x y))
1280
+
1281
+ (rule -2 (lower (has_type (ty_vec128 ty) (bxor x y)))
1282
+ (eor_vec x y (vector_size ty)))
1283
+
1284
+ ;; Specialized lowerings for `(bxor x (bnot y))` which is additionally produced
1285
+ ;; by Cranelift's `bxor_not` instruction that is legalized into the simpler
1286
+ ;; forms early on.
1287
+
1288
+ (rule 1 (lower (has_type (fits_in_64 ty) (bxor x (bnot y))))
1289
+ (alu_rs_imm_logic (ALUOp.EorNot) ty x y))
1290
+ (rule 2 (lower (has_type (fits_in_64 ty) (bxor (bnot y) x)))
1291
+ (alu_rs_imm_logic (ALUOp.EorNot) ty x y))
1292
+
1293
+ (rule 3 (lower (has_type $I128 (bxor x (bnot y)))) (i128_alu_bitop (ALUOp.EorNot) $I64 x y))
1294
+ (rule 4 (lower (has_type $I128 (bxor (bnot y) x))) (i128_alu_bitop (ALUOp.EorNot) $I64 x y))
1295
+
1296
+ ;;;; Rules for `ishl` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1297
+
1298
+ ;; Shift for i8/i16/i32.
1299
+ (rule -1 (lower (has_type (fits_in_32 ty) (ishl x y)))
1300
+ (do_shift (ALUOp.Lsl) ty x y))
1301
+
1302
+ ;; Shift for i64.
1303
+ (rule (lower (has_type $I64 (ishl x y)))
1304
+ (do_shift (ALUOp.Lsl) $I64 x y))
1305
+
1306
+ ;; Shift for i128.
1307
+ (rule (lower (has_type $I128 (ishl x y)))
1308
+ (lower_shl128 x (value_regs_get y 0)))
1309
+
1310
+ ;; lsl lo_lshift, src_lo, amt
1311
+ ;; lsl hi_lshift, src_hi, amt
1312
+ ;; mvn inv_amt, amt
1313
+ ;; lsr lo_rshift, src_lo, #1
1314
+ ;; lsr lo_rshift, lo_rshift, inv_amt
1315
+ ;; orr maybe_hi, hi_lshift, lo_rshift
1316
+ ;; tst amt, #0x40
1317
+ ;; csel dst_hi, lo_lshift, maybe_hi, ne
1318
+ ;; csel dst_lo, xzr, lo_lshift, ne
1319
+ (decl lower_shl128 (ValueRegs Reg) ValueRegs)
1320
+ (rule (lower_shl128 src amt)
1321
+ (let ((src_lo Reg (value_regs_get src 0))
1322
+ (src_hi Reg (value_regs_get src 1))
1323
+ (lo_lshift Reg (lsl $I64 src_lo amt))
1324
+ (hi_lshift Reg (lsl $I64 src_hi amt))
1325
+ (inv_amt Reg (orr_not $I32 (zero_reg) amt))
1326
+ (lo_rshift Reg (lsr $I64 (lsr_imm $I64 src_lo (imm_shift_from_u8 1))
1327
+ inv_amt))
1328
+ (maybe_hi Reg (orr $I64 hi_lshift lo_rshift))
1329
+ )
1330
+ (with_flags
1331
+ (tst_imm $I64 amt (u64_into_imm_logic $I64 64))
1332
+ (consumes_flags_concat
1333
+ (csel (Cond.Ne) (zero_reg) lo_lshift)
1334
+ (csel (Cond.Ne) lo_lshift maybe_hi)))))
1335
+
1336
+ ;; Shift for vector types.
1337
+ (rule -3 (lower (has_type (ty_vec128 ty) (ishl x y)))
1338
+ (let ((size VectorSize (vector_size ty))
1339
+ (masked_shift_amt Reg (and_imm $I32 y (shift_mask ty)))
1340
+ (shift Reg (vec_dup masked_shift_amt size)))
1341
+ (sshl x shift size)))
1342
+ (rule -2 (lower (has_type (ty_vec128 ty) (ishl x (iconst (u64_from_imm64 n)))))
1343
+ (ushl_vec_imm x (shift_masked_imm ty n) (vector_size ty)))
1344
+
1345
+ (decl pure shift_masked_imm (Type u64) u8)
1346
+ (extern constructor shift_masked_imm shift_masked_imm)
1347
+
1348
+ ;; Helper function to emit a shift operation with the opcode specified and
1349
+ ;; the output type specified. The `Reg` provided is shifted by the `Value`
1350
+ ;; given.
1351
+ ;;
1352
+ ;; Note that this automatically handles the clif semantics of masking the
1353
+ ;; shift amount where necessary.
1354
+ (decl do_shift (ALUOp Type Reg Value) Reg)
1355
+
1356
+ ;; 8/16-bit shift base case.
1357
+ ;;
1358
+ ;; When shifting for amounts larger than the size of the type, the CLIF shift
1359
+ ;; instructions implement a "wrapping" behaviour, such that an i8 << 8 is
1360
+ ;; equivalent to i8 << 0
1361
+ ;;
1362
+ ;; On i32 and i64 types this matches what the aarch64 spec does, but on smaller
1363
+ ;; types (i16, i8) we need to do this manually, so we wrap the shift amount
1364
+ ;; with an AND instruction
1365
+ (rule -1 (do_shift op (fits_in_16 ty) x y)
1366
+ (let ((shift_amt Reg (value_regs_get y 0))
1367
+ (masked_shift_amt Reg (and_imm $I32 shift_amt (shift_mask ty))))
1368
+ (alu_rrr op $I32 x masked_shift_amt)))
1369
+
1370
+ (decl shift_mask (Type) ImmLogic)
1371
+ (extern constructor shift_mask shift_mask)
1372
+
1373
+ ;; 32/64-bit shift base cases.
1374
+ (rule (do_shift op $I32 x y) (alu_rrr op $I32 x (value_regs_get y 0)))
1375
+ (rule (do_shift op $I64 x y) (alu_rrr op $I64 x (value_regs_get y 0)))
1376
+
1377
+ ;; Special case for shifting by a constant value where the value can fit into an
1378
+ ;; `ImmShift`.
1379
+ ;;
1380
+ ;; Note that this rule explicitly has a higher priority than the others
1381
+ ;; to ensure it's attempted first, otherwise the type-based filters on the
1382
+ ;; previous rules seem to take priority over this rule.
1383
+ (rule 1 (do_shift op ty x (iconst k))
1384
+ (if-let shift (imm_shift_from_imm64 ty k))
1385
+ (alu_rr_imm_shift op ty x shift))
1386
+
1387
+ ;;;; Rules for `ushr` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1388
+
1389
+ ;; Shift for i8/i16/i32.
1390
+ (rule -1 (lower (has_type (fits_in_32 ty) (ushr x y)))
1391
+ (do_shift (ALUOp.Lsr) ty (put_in_reg_zext32 x) y))
1392
+
1393
+ ;; Shift for i64.
1394
+ (rule (lower (has_type $I64 (ushr x y)))
1395
+ (do_shift (ALUOp.Lsr) $I64 (put_in_reg_zext64 x) y))
1396
+
1397
+ ;; Shift for i128.
1398
+ (rule (lower (has_type $I128 (ushr x y)))
1399
+ (lower_ushr128 x (value_regs_get y 0)))
1400
+
1401
+ ;; Vector shifts.
1402
+ ;;
1403
+ ;; Note that for constant shifts a 0-width shift can't be emitted so it's
1404
+ ;; special cased to pass through the input as-is since a 0-shift doesn't modify
1405
+ ;; the input anyway.
1406
+ (rule -4 (lower (has_type (ty_vec128 ty) (ushr x y)))
1407
+ (let ((size VectorSize (vector_size ty))
1408
+ (masked_shift_amt Reg (and_imm $I32 y (shift_mask ty)))
1409
+ (shift Reg (vec_dup (sub $I64 (zero_reg) masked_shift_amt) size)))
1410
+ (ushl x shift size)))
1411
+ (rule -3 (lower (has_type (ty_vec128 ty) (ushr x (iconst (u64_from_imm64 n)))))
1412
+ (ushr_vec_imm x (shift_masked_imm ty n) (vector_size ty)))
1413
+ (rule -2 (lower (has_type (ty_vec128 ty) (ushr x (iconst (u64_from_imm64 n)))))
1414
+ (if-let 0 (shift_masked_imm ty n))
1415
+ x)
1416
+
1417
+ ;; lsr lo_rshift, src_lo, amt
1418
+ ;; lsr hi_rshift, src_hi, amt
1419
+ ;; mvn inv_amt, amt
1420
+ ;; lsl hi_lshift, src_hi, #1
1421
+ ;; lsl hi_lshift, hi_lshift, inv_amt
1422
+ ;; tst amt, #0x40
1423
+ ;; orr maybe_lo, lo_rshift, hi_lshift
1424
+ ;; csel dst_hi, xzr, hi_rshift, ne
1425
+ ;; csel dst_lo, hi_rshift, maybe_lo, ne
1426
+ (decl lower_ushr128 (ValueRegs Reg) ValueRegs)
1427
+ (rule (lower_ushr128 src amt)
1428
+ (let ((src_lo Reg (value_regs_get src 0))
1429
+ (src_hi Reg (value_regs_get src 1))
1430
+ (lo_rshift Reg (lsr $I64 src_lo amt))
1431
+ (hi_rshift Reg (lsr $I64 src_hi amt))
1432
+
1433
+ (inv_amt Reg (orr_not $I32 (zero_reg) amt))
1434
+ (hi_lshift Reg (lsl $I64 (lsl_imm $I64 src_hi (imm_shift_from_u8 1))
1435
+ inv_amt))
1436
+ (maybe_lo Reg (orr $I64 lo_rshift hi_lshift))
1437
+ )
1438
+ (with_flags
1439
+ (tst_imm $I64 amt (u64_into_imm_logic $I64 64))
1440
+ (consumes_flags_concat
1441
+ (csel (Cond.Ne) hi_rshift maybe_lo)
1442
+ (csel (Cond.Ne) (zero_reg) hi_rshift)))))
1443
+
1444
+ ;;;; Rules for `sshr` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1445
+
1446
+ ;; Shift for i8/i16/i32.
1447
+ (rule -4 (lower (has_type (fits_in_32 ty) (sshr x y)))
1448
+ (do_shift (ALUOp.Asr) ty (put_in_reg_sext32 x) y))
1449
+
1450
+ ;; Shift for i64.
1451
+ (rule (lower (has_type $I64 (sshr x y)))
1452
+ (do_shift (ALUOp.Asr) $I64 (put_in_reg_sext64 x) y))
1453
+
1454
+ ;; Shift for i128.
1455
+ (rule (lower (has_type $I128 (sshr x y)))
1456
+ (lower_sshr128 x (value_regs_get y 0)))
1457
+
1458
+ ;; Vector shifts.
1459
+ ;;
1460
+ ;; Note that right shifts are implemented with a negative left shift. Also note
1461
+ ;; that for constant shifts a 0-width shift can't be emitted so it's special
1462
+ ;; cased to pass through the input as-is since a 0-shift doesn't modify the
1463
+ ;; input anyway.
1464
+ (rule -3 (lower (has_type (ty_vec128 ty) (sshr x y)))
1465
+ (let ((size VectorSize (vector_size ty))
1466
+ (masked_shift_amt Reg (and_imm $I32 y (shift_mask ty)))
1467
+ (shift Reg (vec_dup (sub $I64 (zero_reg) masked_shift_amt) size)))
1468
+ (sshl x shift size)))
1469
+ (rule -2 (lower (has_type (ty_vec128 ty) (sshr x (iconst (u64_from_imm64 n)))))
1470
+ (sshr_vec_imm x (shift_masked_imm ty n) (vector_size ty)))
1471
+ (rule -1 (lower (has_type (ty_vec128 ty) (sshr x (iconst (u64_from_imm64 n)))))
1472
+ (if-let 0 (shift_masked_imm ty n))
1473
+ x)
1474
+
1475
+ ;; lsr lo_rshift, src_lo, amt
1476
+ ;; asr hi_rshift, src_hi, amt
1477
+ ;; mvn inv_amt, amt
1478
+ ;; lsl hi_lshift, src_hi, #1
1479
+ ;; lsl hi_lshift, hi_lshift, inv_amt
1480
+ ;; asr hi_sign, src_hi, #63
1481
+ ;; orr maybe_lo, lo_rshift, hi_lshift
1482
+ ;; tst amt, #0x40
1483
+ ;; csel dst_hi, hi_sign, hi_rshift, ne
1484
+ ;; csel dst_lo, hi_rshift, maybe_lo, ne
1485
+ (decl lower_sshr128 (ValueRegs Reg) ValueRegs)
1486
+ (rule (lower_sshr128 src amt)
1487
+ (let ((src_lo Reg (value_regs_get src 0))
1488
+ (src_hi Reg (value_regs_get src 1))
1489
+ (lo_rshift Reg (lsr $I64 src_lo amt))
1490
+ (hi_rshift Reg (asr $I64 src_hi amt))
1491
+
1492
+ (inv_amt Reg (orr_not $I32 (zero_reg) amt))
1493
+ (hi_lshift Reg (lsl $I64 (lsl_imm $I64 src_hi (imm_shift_from_u8 1))
1494
+ inv_amt))
1495
+ (hi_sign Reg (asr_imm $I64 src_hi (imm_shift_from_u8 63)))
1496
+ (maybe_lo Reg (orr $I64 lo_rshift hi_lshift))
1497
+ )
1498
+ (with_flags
1499
+ (tst_imm $I64 amt (u64_into_imm_logic $I64 64))
1500
+ (consumes_flags_concat
1501
+ (csel (Cond.Ne) hi_rshift maybe_lo)
1502
+ (csel (Cond.Ne) hi_sign hi_rshift)))))
1503
+
1504
+ ;;;; Rules for `rotl` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1505
+
1506
+ ;; General 8/16-bit case.
1507
+ (rule -2 (lower (has_type (fits_in_16 ty) (rotl x y)))
1508
+ (let ((amt Reg (value_regs_get y 0))
1509
+ (neg_shift Reg (sub $I32 (zero_reg) amt)))
1510
+ (small_rotr ty (put_in_reg_zext32 x) neg_shift)))
1511
+
1512
+ ;; Specialization for the 8/16-bit case when the rotation amount is an immediate.
1513
+ (rule -1 (lower (has_type (fits_in_16 ty) (rotl x (iconst k))))
1514
+ (if-let n (imm_shift_from_imm64 ty k))
1515
+ (small_rotr_imm ty (put_in_reg_zext32 x) (negate_imm_shift ty n)))
1516
+
1517
+ ;; aarch64 doesn't have a left-rotate instruction, but a left rotation of K
1518
+ ;; places is effectively a right rotation of N - K places, if N is the integer's
1519
+ ;; bit size. We implement left rotations with this trick.
1520
+ ;;
1521
+ ;; Note that when negating the shift amount here the upper bits are ignored
1522
+ ;; by the rotr instruction, meaning that we'll still left-shift by the desired
1523
+ ;; amount.
1524
+
1525
+ ;; General 32-bit case.
1526
+ (rule (lower (has_type $I32 (rotl x y)))
1527
+ (let ((amt Reg (value_regs_get y 0))
1528
+ (neg_shift Reg (sub $I32 (zero_reg) amt)))
1529
+ (a64_rotr $I32 x neg_shift)))
1530
+
1531
+ ;; General 64-bit case.
1532
+ (rule (lower (has_type $I64 (rotl x y)))
1533
+ (let ((amt Reg (value_regs_get y 0))
1534
+ (neg_shift Reg (sub $I64 (zero_reg) amt)))
1535
+ (a64_rotr $I64 x neg_shift)))
1536
+
1537
+ ;; Specialization for the 32-bit case when the rotation amount is an immediate.
1538
+ (rule 1 (lower (has_type $I32 (rotl x (iconst k))))
1539
+ (if-let n (imm_shift_from_imm64 $I32 k))
1540
+ (a64_rotr_imm $I32 x (negate_imm_shift $I32 n)))
1541
+
1542
+ ;; Specialization for the 64-bit case when the rotation amount is an immediate.
1543
+ (rule 1 (lower (has_type $I64 (rotl x (iconst k))))
1544
+ (if-let n (imm_shift_from_imm64 $I64 k))
1545
+ (a64_rotr_imm $I64 x (negate_imm_shift $I64 n)))
1546
+
1547
+ (decl negate_imm_shift (Type ImmShift) ImmShift)
1548
+ (extern constructor negate_imm_shift negate_imm_shift)
1549
+
1550
+ ;; General 128-bit case.
1551
+ ;;
1552
+ ;; TODO: much better codegen is possible with a constant amount.
1553
+ (rule (lower (has_type $I128 (rotl x y)))
1554
+ (let ((val ValueRegs x)
1555
+ (amt Reg (value_regs_get y 0))
1556
+ (neg_amt Reg (sub $I64 (imm $I64 (ImmExtend.Zero) 128) amt))
1557
+ (lshift ValueRegs (lower_shl128 val amt))
1558
+ (rshift ValueRegs (lower_ushr128 val neg_amt)))
1559
+ (value_regs
1560
+ (orr $I64 (value_regs_get lshift 0) (value_regs_get rshift 0))
1561
+ (orr $I64 (value_regs_get lshift 1) (value_regs_get rshift 1)))))
1562
+
1563
+ ;;;; Rules for `rotr` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1564
+
1565
+ ;; General 8/16-bit case.
1566
+ (rule -3 (lower (has_type (fits_in_16 ty) (rotr x y)))
1567
+ (small_rotr ty (put_in_reg_zext32 x) (value_regs_get y 0)))
1568
+
1569
+ ;; General 32-bit case.
1570
+ (rule -1 (lower (has_type $I32 (rotr x y)))
1571
+ (a64_rotr $I32 x (value_regs_get y 0)))
1572
+
1573
+ ;; General 64-bit case.
1574
+ (rule -1 (lower (has_type $I64 (rotr x y)))
1575
+ (a64_rotr $I64 x (value_regs_get y 0)))
1576
+
1577
+ ;; Specialization for the 8/16-bit case when the rotation amount is an immediate.
1578
+ (rule -2 (lower (has_type (fits_in_16 ty) (rotr x (iconst k))))
1579
+ (if-let n (imm_shift_from_imm64 ty k))
1580
+ (small_rotr_imm ty (put_in_reg_zext32 x) n))
1581
+
1582
+ ;; Specialization for the 32-bit case when the rotation amount is an immediate.
1583
+ (rule (lower (has_type $I32 (rotr x (iconst k))))
1584
+ (if-let n (imm_shift_from_imm64 $I32 k))
1585
+ (a64_rotr_imm $I32 x n))
1586
+
1587
+ ;; Specialization for the 64-bit case when the rotation amount is an immediate.
1588
+ (rule (lower (has_type $I64 (rotr x (iconst k))))
1589
+ (if-let n (imm_shift_from_imm64 $I64 k))
1590
+ (a64_rotr_imm $I64 x n))
1591
+
1592
+ ;; For a < 32-bit rotate-right, we synthesize this as:
1593
+ ;;
1594
+ ;; rotr rd, val, amt
1595
+ ;;
1596
+ ;; =>
1597
+ ;;
1598
+ ;; and masked_amt, amt, <bitwidth - 1>
1599
+ ;; sub tmp_sub, masked_amt, <bitwidth>
1600
+ ;; sub neg_amt, zero, tmp_sub ; neg
1601
+ ;; lsr val_rshift, val, masked_amt
1602
+ ;; lsl val_lshift, val, neg_amt
1603
+ ;; orr rd, val_lshift val_rshift
1604
+ (decl small_rotr (Type Reg Reg) Reg)
1605
+ (rule (small_rotr ty val amt)
1606
+ (let ((masked_amt Reg (and_imm $I32 amt (rotr_mask ty)))
1607
+ (tmp_sub Reg (sub_imm $I32 masked_amt (u8_into_imm12 (ty_bits ty))))
1608
+ (neg_amt Reg (sub $I32 (zero_reg) tmp_sub))
1609
+ (val_rshift Reg (lsr $I32 val masked_amt))
1610
+ (val_lshift Reg (lsl $I32 val neg_amt)))
1611
+ (orr $I32 val_lshift val_rshift)))
1612
+
1613
+ (decl rotr_mask (Type) ImmLogic)
1614
+ (extern constructor rotr_mask rotr_mask)
1615
+
1616
+ ;; For a constant amount, we can instead do:
1617
+ ;;
1618
+ ;; rotr rd, val, #amt
1619
+ ;;
1620
+ ;; =>
1621
+ ;;
1622
+ ;; lsr val_rshift, val, #<amt>
1623
+ ;; lsl val_lshift, val, <bitwidth - amt>
1624
+ ;; orr rd, val_lshift, val_rshift
1625
+ (decl small_rotr_imm (Type Reg ImmShift) Reg)
1626
+ (rule (small_rotr_imm ty val amt)
1627
+ (let ((val_rshift Reg (lsr_imm $I32 val amt))
1628
+ (val_lshift Reg (lsl_imm $I32 val (rotr_opposite_amount ty amt))))
1629
+ (orr $I32 val_lshift val_rshift)))
1630
+
1631
+ (decl rotr_opposite_amount (Type ImmShift) ImmShift)
1632
+ (extern constructor rotr_opposite_amount rotr_opposite_amount)
1633
+
1634
+ ;; General 128-bit case.
1635
+ ;;
1636
+ ;; TODO: much better codegen is possible with a constant amount.
1637
+ (rule (lower (has_type $I128 (rotr x y)))
1638
+ (let ((val ValueRegs x)
1639
+ (amt Reg (value_regs_get y 0))
1640
+ (neg_amt Reg (sub $I64 (imm $I64 (ImmExtend.Zero) 128) amt))
1641
+ (rshift ValueRegs (lower_ushr128 val amt))
1642
+ (lshift ValueRegs (lower_shl128 val neg_amt))
1643
+ (hi Reg (orr $I64 (value_regs_get rshift 1) (value_regs_get lshift 1)))
1644
+ (lo Reg (orr $I64 (value_regs_get rshift 0) (value_regs_get lshift 0))))
1645
+ (value_regs lo hi)))
1646
+
1647
+ ;;;; Rules for `bitrev` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1648
+
1649
+ ;; Reversing an 8-bit value with a 32-bit bitrev instruction will place
1650
+ ;; the reversed result in the highest 8 bits, so we need to shift them down into
1651
+ ;; place.
1652
+ (rule (lower (has_type $I8 (bitrev x)))
1653
+ (lsr_imm $I32 (rbit $I32 x) (imm_shift_from_u8 24)))
1654
+
1655
+ ;; Reversing an 16-bit value with a 32-bit bitrev instruction will place
1656
+ ;; the reversed result in the highest 16 bits, so we need to shift them down into
1657
+ ;; place.
1658
+ (rule (lower (has_type $I16 (bitrev x)))
1659
+ (lsr_imm $I32 (rbit $I32 x) (imm_shift_from_u8 16)))
1660
+
1661
+ (rule (lower (has_type $I128 (bitrev x)))
1662
+ (let ((val ValueRegs x)
1663
+ (lo_rev Reg (rbit $I64 (value_regs_get val 0)))
1664
+ (hi_rev Reg (rbit $I64 (value_regs_get val 1))))
1665
+ (value_regs hi_rev lo_rev)))
1666
+
1667
+ (rule -1 (lower (has_type ty (bitrev x)))
1668
+ (rbit ty x))
1669
+
1670
+
1671
+ ;;;; Rules for `clz` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1672
+
1673
+ (rule (lower (has_type $I8 (clz x)))
1674
+ (sub_imm $I32 (a64_clz $I32 (put_in_reg_zext32 x)) (u8_into_imm12 24)))
1675
+
1676
+ (rule (lower (has_type $I16 (clz x)))
1677
+ (sub_imm $I32 (a64_clz $I32 (put_in_reg_zext32 x)) (u8_into_imm12 16)))
1678
+
1679
+ (rule (lower (has_type $I128 (clz x)))
1680
+ (lower_clz128 x))
1681
+
1682
+ (rule -1 (lower (has_type ty (clz x)))
1683
+ (a64_clz ty x))
1684
+
1685
+ ;; clz hi_clz, hi
1686
+ ;; clz lo_clz, lo
1687
+ ;; lsr tmp, hi_clz, #6
1688
+ ;; madd dst_lo, lo_clz, tmp, hi_clz
1689
+ ;; mov dst_hi, 0
1690
+ (decl lower_clz128 (ValueRegs) ValueRegs)
1691
+ (rule (lower_clz128 val)
1692
+ (let ((hi_clz Reg (a64_clz $I64 (value_regs_get val 1)))
1693
+ (lo_clz Reg (a64_clz $I64 (value_regs_get val 0)))
1694
+ (tmp Reg (lsr_imm $I64 hi_clz (imm_shift_from_u8 6))))
1695
+ (value_regs (madd $I64 lo_clz tmp hi_clz) (imm $I64 (ImmExtend.Zero) 0))))
1696
+
1697
+ ;;;; Rules for `ctz` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1698
+
1699
+ ;; Note that all `ctz` instructions are implemented by reversing the bits and
1700
+ ;; then using a `clz` instruction since the tail zeros are the same as the
1701
+ ;; leading zeros of the reversed value.
1702
+
1703
+ (rule (lower (has_type $I8 (ctz x)))
1704
+ (a64_clz $I32 (orr_imm $I32 (rbit $I32 x) (u64_into_imm_logic $I32 0x800000))))
1705
+
1706
+ (rule (lower (has_type $I16 (ctz x)))
1707
+ (a64_clz $I32 (orr_imm $I32 (rbit $I32 x) (u64_into_imm_logic $I32 0x8000))))
1708
+
1709
+ (rule (lower (has_type $I128 (ctz x)))
1710
+ (let ((val ValueRegs x)
1711
+ (lo Reg (rbit $I64 (value_regs_get val 0)))
1712
+ (hi Reg (rbit $I64 (value_regs_get val 1))))
1713
+ (lower_clz128 (value_regs hi lo))))
1714
+
1715
+ (rule -1 (lower (has_type ty (ctz x)))
1716
+ (a64_clz ty (rbit ty x)))
1717
+
1718
+ ;;;; Rules for `cls` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1719
+
1720
+ (rule (lower (has_type $I8 (cls x)))
1721
+ (sub_imm $I32 (a64_cls $I32 (put_in_reg_sext32 x)) (u8_into_imm12 24)))
1722
+
1723
+ (rule (lower (has_type $I16 (cls x)))
1724
+ (sub_imm $I32 (a64_cls $I32 (put_in_reg_sext32 x)) (u8_into_imm12 16)))
1725
+
1726
+ ;; cls lo_cls, lo
1727
+ ;; cls hi_cls, hi
1728
+ ;; eon sign_eq_eor, hi, lo
1729
+ ;; lsr sign_eq, sign_eq_eor, #63
1730
+ ;; madd lo_sign_bits, out_lo, sign_eq, sign_eq
1731
+ ;; cmp hi_cls, #63
1732
+ ;; csel maybe_lo, lo_sign_bits, xzr, eq
1733
+ ;; add out_lo, maybe_lo, hi_cls
1734
+ ;; mov out_hi, 0
1735
+ (rule (lower (has_type $I128 (cls x)))
1736
+ (let ((val ValueRegs x)
1737
+ (lo Reg (value_regs_get val 0))
1738
+ (hi Reg (value_regs_get val 1))
1739
+ (lo_cls Reg (a64_cls $I64 lo))
1740
+ (hi_cls Reg (a64_cls $I64 hi))
1741
+ (sign_eq_eon Reg (eon $I64 hi lo))
1742
+ (sign_eq Reg (lsr_imm $I64 sign_eq_eon (imm_shift_from_u8 63)))
1743
+ (lo_sign_bits Reg (madd $I64 lo_cls sign_eq sign_eq))
1744
+ (maybe_lo Reg (with_flags_reg
1745
+ (cmp64_imm hi_cls (u8_into_imm12 63))
1746
+ (csel (Cond.Eq) lo_sign_bits (zero_reg)))))
1747
+ (value_regs (add $I64 maybe_lo hi_cls) (imm $I64 (ImmExtend.Zero) 0))))
1748
+
1749
+ (rule -1 (lower (has_type ty (cls x)))
1750
+ (a64_cls ty x))
1751
+
1752
+ ;;;; Rules for `bswap` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1753
+
1754
+ (rule (lower (has_type $I16 (bswap x)))
1755
+ (a64_rev16 $I16 x))
1756
+
1757
+ (rule (lower (has_type $I32 (bswap x)))
1758
+ (a64_rev32 $I32 x))
1759
+
1760
+ (rule (lower (has_type $I64 (bswap x)))
1761
+ (a64_rev64 $I64 x))
1762
+
1763
+ (rule (lower (has_type $I128 (bswap x)))
1764
+ (value_regs
1765
+ (a64_rev64 $I64 (value_regs_get x 1))
1766
+ (a64_rev64 $I64 (value_regs_get x 0))))
1767
+
1768
+ ;;;; Rules for `bmask` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1769
+
1770
+ ;; Bmask tests the value against zero, and uses `csetm` to assert the result.
1771
+ (rule (lower (has_type out_ty (bmask x @ (value_type in_ty))))
1772
+ (lower_bmask out_ty in_ty x))
1773
+
1774
+ ;;;; Rules for `popcnt` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1775
+
1776
+ ;; The implementation of `popcnt` for scalar types is done by moving the value
1777
+ ;; into a vector register, using the `cnt` instruction, and then collating the
1778
+ ;; result back into a normal register.
1779
+ ;;
1780
+ ;; The general sequence emitted here is
1781
+ ;;
1782
+ ;; fmov tmp, in_lo
1783
+ ;; if ty == i128:
1784
+ ;; mov tmp.d[1], in_hi
1785
+ ;;
1786
+ ;; cnt tmp.16b, tmp.16b / cnt tmp.8b, tmp.8b
1787
+ ;; addv tmp, tmp.16b / addv tmp, tmp.8b / addp tmp.8b, tmp.8b, tmp.8b / (no instruction for 8-bit inputs)
1788
+ ;;
1789
+ ;; umov out_lo, tmp.b[0]
1790
+ ;; if ty == i128:
1791
+ ;; mov out_hi, 0
1792
+
1793
+ (rule (lower (has_type $I8 (popcnt x)))
1794
+ (let ((tmp Reg (mov_to_fpu x (ScalarSize.Size32)))
1795
+ (nbits Reg (vec_cnt tmp (VectorSize.Size8x8))))
1796
+ (mov_from_vec nbits 0 (ScalarSize.Size8))))
1797
+
1798
+ ;; Note that this uses `addp` instead of `addv` as it's usually cheaper.
1799
+ (rule (lower (has_type $I16 (popcnt x)))
1800
+ (let ((tmp Reg (mov_to_fpu x (ScalarSize.Size32)))
1801
+ (nbits Reg (vec_cnt tmp (VectorSize.Size8x8)))
1802
+ (added Reg (addp nbits nbits (VectorSize.Size8x8))))
1803
+ (mov_from_vec added 0 (ScalarSize.Size8))))
1804
+
1805
+ (rule (lower (has_type $I32 (popcnt x)))
1806
+ (let ((tmp Reg (mov_to_fpu x (ScalarSize.Size32)))
1807
+ (nbits Reg (vec_cnt tmp (VectorSize.Size8x8)))
1808
+ (added Reg (addv nbits (VectorSize.Size8x8))))
1809
+ (mov_from_vec added 0 (ScalarSize.Size8))))
1810
+
1811
+ (rule (lower (has_type $I64 (popcnt x)))
1812
+ (let ((tmp Reg (mov_to_fpu x (ScalarSize.Size64)))
1813
+ (nbits Reg (vec_cnt tmp (VectorSize.Size8x8)))
1814
+ (added Reg (addv nbits (VectorSize.Size8x8))))
1815
+ (mov_from_vec added 0 (ScalarSize.Size8))))
1816
+
1817
+ (rule (lower (has_type $I128 (popcnt x)))
1818
+ (let ((val ValueRegs x)
1819
+ (tmp_half Reg (mov_to_fpu (value_regs_get val 0) (ScalarSize.Size64)))
1820
+ (tmp Reg (mov_to_vec tmp_half (value_regs_get val 1) 1 (VectorSize.Size64x2)))
1821
+ (nbits Reg (vec_cnt tmp (VectorSize.Size8x16)))
1822
+ (added Reg (addv nbits (VectorSize.Size8x16))))
1823
+ (value_regs (mov_from_vec added 0 (ScalarSize.Size8)) (imm $I64 (ImmExtend.Zero) 0))))
1824
+
1825
+ (rule (lower (has_type $I8X16 (popcnt x)))
1826
+ (vec_cnt x (VectorSize.Size8x16)))
1827
+
1828
+ ;;;; Rules for `bitselect` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1829
+
1830
+ (rule (lower (has_type ty (bitselect c x y)))
1831
+ (if (ty_int_ref_scalar_64 ty))
1832
+ (let ((tmp1 Reg (and_reg ty x c))
1833
+ (tmp2 Reg (bic ty y c)))
1834
+ (orr ty tmp1 tmp2)))
1835
+
1836
+ (rule 1 (lower (has_type (ty_vec128 ty) (bitselect c x y)))
1837
+ (bsl ty c x y))
1838
+
1839
+ ;;;; Rules for `ireduce` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1840
+
1841
+ ;; T -> I{64,32,16,8}: We can simply pass through the value: values
1842
+ ;; are always stored with high bits undefined, so we can just leave
1843
+ ;; them be.
1844
+ (rule (lower (has_type ty (ireduce src)))
1845
+ (if (ty_int_ref_scalar_64 ty))
1846
+ (value_regs_get src 0))
1847
+
1848
+ ;;;; Rules for `fcmp` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1849
+
1850
+ (rule 4 (lower (has_type ty @ (multi_lane _ _) (fcmp (fcmp_zero_cond_not_eq cond) x y)))
1851
+ (if (zero_value y))
1852
+ (let ((rn Reg x)
1853
+ (vec_size VectorSize (vector_size ty)))
1854
+ (value_reg (not (fcmeq0 rn vec_size) vec_size))))
1855
+
1856
+ (rule 3 (lower (has_type ty @ (multi_lane _ _) (fcmp (fcmp_zero_cond cond) x y)))
1857
+ (if (zero_value y))
1858
+ (let ((rn Reg x)
1859
+ (vec_size VectorSize (vector_size ty)))
1860
+ (value_reg (float_cmp_zero cond rn vec_size))))
1861
+
1862
+ (rule 2 (lower (has_type ty @ (multi_lane _ _) (fcmp (fcmp_zero_cond_not_eq cond) x y)))
1863
+ (if (zero_value x))
1864
+ (let ((rn Reg y)
1865
+ (vec_size VectorSize (vector_size ty)))
1866
+ (value_reg (not (fcmeq0 rn vec_size) vec_size))))
1867
+
1868
+ (rule 1 (lower (has_type ty @ (multi_lane _ _) (fcmp (fcmp_zero_cond cond) x y)))
1869
+ (if (zero_value x))
1870
+ (let ((rn Reg y)
1871
+ (vec_size VectorSize (vector_size ty)))
1872
+ (value_reg (float_cmp_zero_swap cond rn vec_size))))
1873
+
1874
+ (rule 0 (lower (has_type out_ty
1875
+ (fcmp cond x @ (value_type (ty_scalar_float in_ty)) y)))
1876
+ (with_flags (fpu_cmp (scalar_size in_ty) x y)
1877
+ (materialize_bool_result (fp_cond_code cond))))
1878
+
1879
+ (rule -1 (lower (has_type out_ty (fcmp cond x @ (value_type in_ty) y)))
1880
+ (if (ty_vector_float in_ty))
1881
+ (vec_cmp x y in_ty (fp_cond_code cond)))
1882
+
1883
+ ;;;; Rules for `icmp` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1884
+
1885
+ (rule 3 (lower (has_type ty @ (multi_lane _ _) (icmp (icmp_zero_cond_not_eq cond) x y)))
1886
+ (if (zero_value y))
1887
+ (let ((rn Reg x)
1888
+ (vec_size VectorSize (vector_size ty)))
1889
+ (value_reg (not (cmeq0 rn vec_size) vec_size))))
1890
+
1891
+ (rule 2 (lower (has_type ty @ (multi_lane _ _) (icmp (icmp_zero_cond cond) x y)))
1892
+ (if (zero_value y))
1893
+ (let ((rn Reg x)
1894
+ (vec_size VectorSize (vector_size ty)))
1895
+ (value_reg (int_cmp_zero cond rn vec_size))))
1896
+
1897
+ (rule 1 (lower (has_type ty @ (multi_lane _ _) (icmp (icmp_zero_cond_not_eq cond) x y)))
1898
+ (if (zero_value x))
1899
+ (let ((rn Reg y)
1900
+ (vec_size VectorSize (vector_size ty)))
1901
+ (value_reg (not (cmeq0 rn vec_size) vec_size))))
1902
+
1903
+ (rule 0 (lower (has_type ty @ (multi_lane _ _) (icmp (icmp_zero_cond cond) x y)))
1904
+ (if (zero_value x))
1905
+ (let ((rn Reg y)
1906
+ (vec_size VectorSize (vector_size ty)))
1907
+ (value_reg (int_cmp_zero_swap cond rn vec_size))))
1908
+
1909
+ (rule -1 (lower (icmp cond x @ (value_type in_ty) y))
1910
+ (lower_icmp_into_reg cond x y in_ty $I8))
1911
+
1912
+ ;;;; Rules for `trap` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1913
+
1914
+ (rule (lower (trap trap_code))
1915
+ (side_effect (udf trap_code)))
1916
+
1917
+ ;;;; Rules for `resumable_trap` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1918
+
1919
+ (rule (lower (resumable_trap trap_code))
1920
+ (side_effect (udf trap_code)))
1921
+
1922
+ ;;;; Rules for `select` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1923
+
1924
+ (rule (lower (has_type ty
1925
+ (select (maybe_uextend (icmp cc
1926
+ x @ (value_type in_ty)
1927
+ y))
1928
+ rn
1929
+ rm)))
1930
+ (let ((comparison FlagsAndCC (lower_icmp_into_flags cc x y in_ty)))
1931
+ (lower_select (flags_and_cc_flags comparison)
1932
+ (cond_code (flags_and_cc_cc comparison))
1933
+ ty
1934
+ rn
1935
+ rm)))
1936
+
1937
+ (rule (lower (has_type ty
1938
+ (select (maybe_uextend (fcmp cc x @ (value_type in_ty) y))
1939
+ rn
1940
+ rm)))
1941
+ (let ((cond Cond (fp_cond_code cc)))
1942
+ (lower_select
1943
+ (fpu_cmp (scalar_size in_ty) x y)
1944
+ cond ty rn rm)))
1945
+
1946
+ (rule -1 (lower (has_type ty (select rcond @ (value_type $I8) rn rm)))
1947
+ (let ((rcond Reg rcond))
1948
+ (lower_select
1949
+ (tst_imm $I32 rcond (u64_into_imm_logic $I32 255))
1950
+ (Cond.Ne) ty rn rm)))
1951
+
1952
+ (rule -2 (lower (has_type ty (select rcond @ (value_type (fits_in_32 _)) rn rm)))
1953
+ (let ((rcond Reg (put_in_reg_zext32 rcond)))
1954
+ (lower_select
1955
+ (cmp (OperandSize.Size32) rcond (zero_reg))
1956
+ (Cond.Ne) ty rn rm)))
1957
+
1958
+ (rule -3 (lower (has_type ty (select rcond @ (value_type (fits_in_64 _)) rn rm)))
1959
+ (let ((rcond Reg (put_in_reg_zext64 rcond)))
1960
+ (lower_select
1961
+ (cmp (OperandSize.Size64) rcond (zero_reg))
1962
+ (Cond.Ne) ty rn rm)))
1963
+
1964
+ (rule -4 (lower (has_type ty (select rcond @ (value_type $I128) rn rm)))
1965
+ (let ((c ValueRegs (put_in_regs rcond))
1966
+ (c_lo Reg (value_regs_get c 0))
1967
+ (c_hi Reg (value_regs_get c 1))
1968
+ (rt Reg (orr $I64 c_lo c_hi)))
1969
+ (lower_select
1970
+ (cmp (OperandSize.Size64) rt (zero_reg))
1971
+ (Cond.Ne) ty rn rm)))
1972
+
1973
+ ;;;; Rules for `select_spectre_guard` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
1974
+
1975
+ (rule (lower (has_type ty
1976
+ (select_spectre_guard (maybe_uextend (icmp cc x @ (value_type in_ty) y))
1977
+ if_true
1978
+ if_false)))
1979
+ (let ((comparison FlagsAndCC (lower_icmp_into_flags cc x y in_ty))
1980
+ (dst ValueRegs (lower_select
1981
+ (flags_and_cc_flags comparison)
1982
+ (cond_code (flags_and_cc_cc comparison))
1983
+ ty
1984
+ if_true
1985
+ if_false))
1986
+ (_ InstOutput (side_effect (csdb))))
1987
+ dst))
1988
+
1989
+ (rule -1 (lower (has_type ty (select_spectre_guard rcond @ (value_type (fits_in_64 _)) rn rm)))
1990
+ (let ((rcond Reg (put_in_reg_zext64 rcond)))
1991
+ (lower_select
1992
+ (cmp (OperandSize.Size64) rcond (zero_reg))
1993
+ (Cond.Ne) ty rn rm)))
1994
+
1995
+ (rule -2 (lower (has_type ty (select_spectre_guard rcond @ (value_type $I128) rn rm)))
1996
+ (let ((c ValueRegs (put_in_regs rcond))
1997
+ (c_lo Reg (value_regs_get c 0))
1998
+ (c_hi Reg (value_regs_get c 1))
1999
+ (rt Reg (orr $I64 c_lo c_hi)))
2000
+ (lower_select
2001
+ (cmp (OperandSize.Size64) rt (zero_reg))
2002
+ (Cond.Ne) ty rn rm)))
2003
+
2004
+ ;;;; Rules for `vconst` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2005
+
2006
+ (rule (lower (has_type (ty_vec128 _) (vconst (u128_from_constant x))))
2007
+ (constant_f128 x))
2008
+
2009
+ (rule 1 (lower (has_type ty (vconst (u64_from_constant x))))
2010
+ (if (ty_vec64 ty))
2011
+ (constant_f64 x))
2012
+
2013
+ ;;;; Rules for `splat` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2014
+
2015
+ (rule -1 (lower (has_type ty (splat x @ (value_type in_ty))))
2016
+ (if (ty_int_ref_scalar_64 in_ty))
2017
+ (vec_dup x (vector_size ty)))
2018
+
2019
+ (rule -2 (lower (has_type ty (splat x @ (value_type (ty_scalar_float _)))))
2020
+ (vec_dup_from_fpu x (vector_size ty) 0))
2021
+
2022
+ (rule (lower (has_type ty (splat (f32const (u32_from_ieee32 n)))))
2023
+ (splat_const n (vector_size ty)))
2024
+
2025
+ (rule (lower (has_type ty (splat (f64const (u64_from_ieee64 n)))))
2026
+ (splat_const n (vector_size ty)))
2027
+
2028
+ (rule (lower (has_type ty (splat (iconst (u64_from_imm64 n)))))
2029
+ (splat_const n (vector_size ty)))
2030
+
2031
+ (rule (lower (has_type ty (splat (ireduce (iconst (u64_from_imm64 n))))))
2032
+ (splat_const n (vector_size ty)))
2033
+
2034
+ (rule (lower (has_type ty (splat x @ (load flags _ _))))
2035
+ (if-let mem_op (is_sinkable_inst x))
2036
+ (let ((addr Reg (sink_load_into_addr (lane_type ty) mem_op)))
2037
+ (ld1r addr (vector_size ty) flags)))
2038
+
2039
+ ;;;; Rules for `AtomicLoad` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2040
+ (rule (lower (has_type (valid_atomic_transaction ty) (atomic_load flags addr)))
2041
+ (load_acquire ty flags addr))
2042
+
2043
+
2044
+ ;;;; Rules for `AtomicStore` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2045
+ (rule (lower (atomic_store flags
2046
+ src @ (value_type (valid_atomic_transaction ty))
2047
+ addr))
2048
+ (side_effect (store_release ty flags src addr)))
2049
+
2050
+ ;;;; Rules for `AtomicRMW` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2051
+
2052
+ (rule 1 (lower (and (use_lse)
2053
+ (has_type (valid_atomic_transaction ty)
2054
+ (atomic_rmw flags (AtomicRmwOp.Add) addr src))))
2055
+ (lse_atomic_rmw (AtomicRMWOp.Add) addr src ty flags))
2056
+ (rule 1 (lower (and (use_lse)
2057
+ (has_type (valid_atomic_transaction ty)
2058
+ (atomic_rmw flags (AtomicRmwOp.Xor) addr src))))
2059
+ (lse_atomic_rmw (AtomicRMWOp.Eor) addr src ty flags))
2060
+ (rule 1 (lower (and (use_lse)
2061
+ (has_type (valid_atomic_transaction ty)
2062
+ (atomic_rmw flags (AtomicRmwOp.Or) addr src))))
2063
+ (lse_atomic_rmw (AtomicRMWOp.Set) addr src ty flags))
2064
+ (rule 1 (lower (and (use_lse)
2065
+ (has_type (valid_atomic_transaction ty)
2066
+ (atomic_rmw flags (AtomicRmwOp.Smax) addr src))))
2067
+ (lse_atomic_rmw (AtomicRMWOp.Smax) addr src ty flags))
2068
+ (rule 1 (lower (and (use_lse)
2069
+ (has_type (valid_atomic_transaction ty)
2070
+ (atomic_rmw flags (AtomicRmwOp.Smin) addr src))))
2071
+ (lse_atomic_rmw (AtomicRMWOp.Smin) addr src ty flags))
2072
+ (rule 1 (lower (and (use_lse)
2073
+ (has_type (valid_atomic_transaction ty)
2074
+ (atomic_rmw flags (AtomicRmwOp.Umax) addr src))))
2075
+ (lse_atomic_rmw (AtomicRMWOp.Umax) addr src ty flags))
2076
+ (rule 1 (lower (and (use_lse)
2077
+ (has_type (valid_atomic_transaction ty)
2078
+ (atomic_rmw flags (AtomicRmwOp.Umin) addr src))))
2079
+ (lse_atomic_rmw (AtomicRMWOp.Umin) addr src ty flags))
2080
+ (rule 1 (lower (and (use_lse)
2081
+ (has_type (valid_atomic_transaction ty)
2082
+ (atomic_rmw flags (AtomicRmwOp.Sub) addr src))))
2083
+ (lse_atomic_rmw (AtomicRMWOp.Add) addr (sub ty (zero_reg) src) ty flags))
2084
+ (rule 1 (lower (and (use_lse)
2085
+ (has_type (valid_atomic_transaction ty)
2086
+ (atomic_rmw flags (AtomicRmwOp.And) addr src))))
2087
+ (lse_atomic_rmw (AtomicRMWOp.Clr) addr (eon ty src (zero_reg)) ty flags))
2088
+
2089
+
2090
+ (rule (lower (has_type (valid_atomic_transaction ty)
2091
+ (atomic_rmw flags (AtomicRmwOp.Add) addr src)))
2092
+ (atomic_rmw_loop (AtomicRMWLoopOp.Add) addr src ty flags))
2093
+ (rule (lower (has_type (valid_atomic_transaction ty)
2094
+ (atomic_rmw flags (AtomicRmwOp.Sub) addr src)))
2095
+ (atomic_rmw_loop (AtomicRMWLoopOp.Sub) addr src ty flags))
2096
+ (rule (lower (has_type (valid_atomic_transaction ty)
2097
+ (atomic_rmw flags (AtomicRmwOp.And) addr src)))
2098
+ (atomic_rmw_loop (AtomicRMWLoopOp.And) addr src ty flags))
2099
+ (rule (lower (has_type (valid_atomic_transaction ty)
2100
+ (atomic_rmw flags (AtomicRmwOp.Nand) addr src)))
2101
+ (atomic_rmw_loop (AtomicRMWLoopOp.Nand) addr src ty flags))
2102
+ (rule (lower (has_type (valid_atomic_transaction ty)
2103
+ (atomic_rmw flags (AtomicRmwOp.Or) addr src)))
2104
+ (atomic_rmw_loop (AtomicRMWLoopOp.Orr) addr src ty flags))
2105
+ (rule (lower (has_type (valid_atomic_transaction ty)
2106
+ (atomic_rmw flags (AtomicRmwOp.Xor) addr src)))
2107
+ (atomic_rmw_loop (AtomicRMWLoopOp.Eor) addr src ty flags))
2108
+ (rule (lower (has_type (valid_atomic_transaction ty)
2109
+ (atomic_rmw flags (AtomicRmwOp.Smin) addr src)))
2110
+ (atomic_rmw_loop (AtomicRMWLoopOp.Smin) addr src ty flags))
2111
+ (rule (lower (has_type (valid_atomic_transaction ty)
2112
+ (atomic_rmw flags (AtomicRmwOp.Smax) addr src)))
2113
+ (atomic_rmw_loop (AtomicRMWLoopOp.Smax) addr src ty flags))
2114
+ (rule (lower (has_type (valid_atomic_transaction ty)
2115
+ (atomic_rmw flags (AtomicRmwOp.Umin) addr src)))
2116
+ (atomic_rmw_loop (AtomicRMWLoopOp.Umin) addr src ty flags))
2117
+ (rule (lower (has_type (valid_atomic_transaction ty)
2118
+ (atomic_rmw flags (AtomicRmwOp.Umax) addr src)))
2119
+ (atomic_rmw_loop (AtomicRMWLoopOp.Umax) addr src ty flags))
2120
+ (rule (lower (has_type (valid_atomic_transaction ty)
2121
+ (atomic_rmw flags (AtomicRmwOp.Xchg) addr src)))
2122
+ (atomic_rmw_loop (AtomicRMWLoopOp.Xchg) addr src ty flags))
2123
+
2124
+ ;;;; Rules for `AtomicCAS` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2125
+ (rule 1 (lower (and (use_lse)
2126
+ (has_type (valid_atomic_transaction ty)
2127
+ (atomic_cas flags addr src1 src2))))
2128
+ (lse_atomic_cas addr src1 src2 ty flags))
2129
+
2130
+ (rule (lower (and (has_type (valid_atomic_transaction ty)
2131
+ (atomic_cas flags addr src1 src2))))
2132
+ (atomic_cas_loop addr src1 src2 ty flags))
2133
+
2134
+ ;;;; Rules for 'fvdemote' ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2135
+ (rule (lower (fvdemote x))
2136
+ (fcvtn x (ScalarSize.Size32)))
2137
+
2138
+
2139
+ ;;;; Rules for `snarrow` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2140
+ (rule 1 (lower (has_type (ty_vec128_int ty) (snarrow x y)))
2141
+ (if (zero_value y))
2142
+ (sqxtn x (lane_size ty)))
2143
+
2144
+ (rule 2 (lower (has_type (ty_vec64_int ty) (snarrow x y)))
2145
+ (let ((dst Reg (mov_vec_elem x y 1 0 (VectorSize.Size64x2))))
2146
+ (sqxtn dst (lane_size ty))))
2147
+
2148
+ (rule 0 (lower (has_type (ty_vec128_int ty) (snarrow x y)))
2149
+ (let ((low_half Reg (sqxtn x (lane_size ty)))
2150
+ (result Reg (sqxtn2 low_half y (lane_size ty))))
2151
+ result))
2152
+
2153
+
2154
+ ;;;; Rules for `unarrow` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2155
+ (rule 1 (lower (has_type (ty_vec128_int ty) (unarrow x y)))
2156
+ (if (zero_value y))
2157
+ (sqxtun x (lane_size ty)))
2158
+
2159
+ (rule 2 (lower (has_type (ty_vec64_int ty) (unarrow x y)))
2160
+ (let ((dst Reg (mov_vec_elem x y 1 0 (VectorSize.Size64x2))))
2161
+ (sqxtun dst (lane_size ty))))
2162
+
2163
+ (rule 0 (lower (has_type (ty_vec128_int ty) (unarrow x y)))
2164
+ (let ((low_half Reg (sqxtun x (lane_size ty)))
2165
+ (result Reg (sqxtun2 low_half y (lane_size ty))))
2166
+ result))
2167
+
2168
+
2169
+ ;;;; Rules for `uunarrow` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2170
+
2171
+ (rule 1 (lower (has_type (ty_vec128_int ty) (uunarrow x y)))
2172
+ (if (zero_value y))
2173
+ (uqxtn x (lane_size ty)))
2174
+
2175
+ (rule 2 (lower (has_type (ty_vec64_int ty) (uunarrow x y)))
2176
+ (let ((dst Reg (mov_vec_elem x y 1 0 (VectorSize.Size64x2))))
2177
+ (uqxtn dst (lane_size ty))))
2178
+
2179
+ (rule 0 (lower (has_type (ty_vec128_int ty) (uunarrow x y)))
2180
+ (let ((low_half Reg (uqxtn x (lane_size ty)))
2181
+ (result Reg (uqxtn2 low_half y (lane_size ty))))
2182
+ result))
2183
+
2184
+ ;;;; Rules for `swiden_low` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2185
+
2186
+ (rule (lower (has_type ty (swiden_low x)))
2187
+ (vec_extend (VecExtendOp.Sxtl) x $false (lane_size ty)))
2188
+
2189
+ ;;;; Rules for `swiden_high` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2190
+
2191
+ (rule 1 (lower (has_type (ty_vec128 ty) (swiden_high x)))
2192
+ (vec_extend (VecExtendOp.Sxtl) x $true (lane_size ty)))
2193
+
2194
+ (rule (lower (has_type ty (swiden_high x)))
2195
+ (if (ty_vec64 ty))
2196
+ (let ((tmp Reg (fpu_move_from_vec x 1 (VectorSize.Size32x2))))
2197
+ (vec_extend (VecExtendOp.Sxtl) tmp $false (lane_size ty))))
2198
+
2199
+ ;;;; Rules for `uwiden_low` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2200
+
2201
+ (rule (lower (has_type ty (uwiden_low x)))
2202
+ (vec_extend (VecExtendOp.Uxtl) x $false (lane_size ty)))
2203
+
2204
+ ;;;; Rules for `uwiden_high` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2205
+
2206
+ (rule 1 (lower (has_type (ty_vec128 ty) (uwiden_high x)))
2207
+ (vec_extend (VecExtendOp.Uxtl) x $true (lane_size ty)))
2208
+
2209
+ (rule (lower (has_type ty (uwiden_high x)))
2210
+ (if (ty_vec64 ty))
2211
+ (let ((tmp Reg (fpu_move_from_vec x 1 (VectorSize.Size32x2))))
2212
+ (vec_extend (VecExtendOp.Uxtl) tmp $false (lane_size ty))))
2213
+
2214
+ ;;;; Rules for `Fence` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2215
+
2216
+ (rule (lower (fence))
2217
+ (side_effect (aarch64_fence)))
2218
+
2219
+ ;;;; Rules for `IsNull` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2220
+
2221
+ (rule (lower (is_null x @ (value_type ty)))
2222
+ (with_flags (cmp_imm (operand_size ty) x (u8_into_imm12 0))
2223
+ (materialize_bool_result (Cond.Eq))))
2224
+
2225
+ ;;;; Rules for `IsInvalid` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2226
+
2227
+ (rule (lower (is_invalid x @ (value_type ty)))
2228
+ (with_flags (cmn_imm (operand_size ty) x (u8_into_imm12 1))
2229
+ (materialize_bool_result (Cond.Eq))))
2230
+
2231
+ ;;;; Rules for `Debugtrap` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2232
+
2233
+ (rule (lower (debugtrap))
2234
+ (side_effect (brk)))
2235
+
2236
+ ;;;; Rules for `func_addr` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2237
+
2238
+ (rule (lower (func_addr (func_ref_data _ extname _)))
2239
+ (load_ext_name (box_external_name extname) 0))
2240
+
2241
+ ;;;; Rules for `symbol_value` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2242
+
2243
+ (rule (lower (symbol_value (symbol_value_data extname _ offset)))
2244
+ (load_ext_name (box_external_name extname) offset))
2245
+
2246
+ ;;; Rules for `get_{frame,stack}_pointer` and `get_return_address` ;;;;;;;;;;;;;
2247
+
2248
+ (rule (lower (get_frame_pointer))
2249
+ (aarch64_fp))
2250
+
2251
+ (rule (lower (get_stack_pointer))
2252
+ (aarch64_sp))
2253
+
2254
+ (rule (lower (get_return_address))
2255
+ (aarch64_link))
2256
+
2257
+ ;;;; Rules for calls ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2258
+
2259
+ (rule (lower (call (func_ref_data sig_ref extname dist) inputs))
2260
+ (gen_call sig_ref extname dist inputs))
2261
+
2262
+ (rule (lower (call_indirect sig_ref val inputs))
2263
+ (gen_call_indirect sig_ref val inputs))
2264
+
2265
+ ;;;; Rules for `return` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2266
+
2267
+ ;; N.B.: the Ret itself is generated by the ABI.
2268
+ (rule (lower (return args))
2269
+ (lower_return args))
2270
+
2271
+ ;;;; Rules for `return_call` and `return_call_indirect` ;;;;;;;;;;;;;;;;;;;;;;;;
2272
+
2273
+ (rule (lower (return_call (func_ref_data sig_ref extname dist) args))
2274
+ (gen_return_call sig_ref extname dist args))
2275
+
2276
+ (rule (lower (return_call_indirect sig_ref callee args))
2277
+ (gen_return_call_indirect sig_ref callee args))
2278
+
2279
+ ;;;; Rules for loads ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2280
+
2281
+ (rule (lower
2282
+ (has_type $I8 (load flags address offset)))
2283
+ (aarch64_uload8 (amode $I8 address offset) flags))
2284
+ (rule (lower
2285
+ (has_type $I16 (load flags address offset)))
2286
+ (aarch64_uload16 (amode $I16 address offset) flags))
2287
+ (rule (lower
2288
+ (has_type $I32 (load flags address offset)))
2289
+ (aarch64_uload32 (amode $I32 address offset) flags))
2290
+ (rule (lower
2291
+ (has_type $I64 (load flags address offset)))
2292
+ (aarch64_uload64 (amode $I64 address offset) flags))
2293
+ (rule (lower
2294
+ (has_type $R64 (load flags address offset)))
2295
+ (aarch64_uload64 (amode $I64 address offset) flags))
2296
+ (rule (lower
2297
+ (has_type $F32 (load flags address offset)))
2298
+ (aarch64_fpuload32 (amode $F32 address offset) flags))
2299
+ (rule (lower
2300
+ (has_type $F64 (load flags address offset)))
2301
+ (aarch64_fpuload64 (amode $F64 address offset) flags))
2302
+ (rule (lower
2303
+ (has_type $I128 (load flags address offset)))
2304
+ (aarch64_loadp64 (pair_amode address offset) flags))
2305
+ (rule -1 (lower
2306
+ (has_type (ty_vec64 _)
2307
+ (load flags address offset)))
2308
+ (aarch64_fpuload128 (amode $F64 address offset) flags))
2309
+ (rule -3 (lower
2310
+ (has_type (ty_vec128 _)
2311
+ (load flags address offset)))
2312
+ (aarch64_fpuload128 (amode $I8X16 address offset) flags))
2313
+ (rule -2 (lower
2314
+ (has_type (ty_dyn_vec64 _)
2315
+ (load flags address offset)))
2316
+ (aarch64_fpuload64 (amode $F64 address offset) flags))
2317
+ (rule -4 (lower
2318
+ (has_type (ty_dyn_vec128 _)
2319
+ (load flags address offset)))
2320
+ (aarch64_fpuload128 (amode $I8X16 address offset) flags))
2321
+
2322
+ (rule (lower
2323
+ (uload8 flags address offset))
2324
+ (aarch64_uload8 (amode $I8 address offset) flags))
2325
+ (rule (lower
2326
+ (sload8 flags address offset))
2327
+ (aarch64_sload8 (amode $I8 address offset) flags))
2328
+ (rule (lower
2329
+ (uload16 flags address offset))
2330
+ (aarch64_uload16 (amode $I16 address offset) flags))
2331
+ (rule (lower
2332
+ (sload16 flags address offset))
2333
+ (aarch64_sload16 (amode $I16 address offset) flags))
2334
+ (rule (lower
2335
+ (uload32 flags address offset))
2336
+ (aarch64_uload32 (amode $I32 address offset) flags))
2337
+ (rule (lower
2338
+ (sload32 flags address offset))
2339
+ (aarch64_sload32 (amode $I32 address offset) flags))
2340
+
2341
+ (rule (lower
2342
+ (sload8x8 flags address offset))
2343
+ (vec_extend (VecExtendOp.Sxtl)
2344
+ (aarch64_fpuload64 (amode $F64 address offset) flags)
2345
+ $false
2346
+ (ScalarSize.Size16)))
2347
+ (rule (lower
2348
+ (uload8x8 flags address offset))
2349
+ (vec_extend (VecExtendOp.Uxtl)
2350
+ (aarch64_fpuload64 (amode $F64 address offset) flags)
2351
+ $false
2352
+ (ScalarSize.Size16)))
2353
+ (rule (lower
2354
+ (sload16x4 flags address offset))
2355
+ (vec_extend (VecExtendOp.Sxtl)
2356
+ (aarch64_fpuload64 (amode $F64 address offset) flags)
2357
+ $false
2358
+ (ScalarSize.Size32)))
2359
+ (rule (lower
2360
+ (uload16x4 flags address offset))
2361
+ (vec_extend (VecExtendOp.Uxtl)
2362
+ (aarch64_fpuload64 (amode $F64 address offset) flags)
2363
+ $false
2364
+ (ScalarSize.Size32)))
2365
+ (rule (lower
2366
+ (sload32x2 flags address offset))
2367
+ (vec_extend (VecExtendOp.Sxtl)
2368
+ (aarch64_fpuload64 (amode $F64 address offset) flags)
2369
+ $false
2370
+ (ScalarSize.Size64)))
2371
+ (rule (lower
2372
+ (uload32x2 flags address offset))
2373
+ (vec_extend (VecExtendOp.Uxtl)
2374
+ (aarch64_fpuload64 (amode $F64 address offset) flags)
2375
+ $false
2376
+ (ScalarSize.Size64)))
2377
+
2378
+ ;;;; Rules for stores ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2379
+
2380
+ (rule (lower
2381
+ (store flags value @ (value_type $I8) address offset))
2382
+ (side_effect
2383
+ (aarch64_store8 (amode $I8 address offset) flags value)))
2384
+ (rule (lower
2385
+ (store flags value @ (value_type $I16) address offset))
2386
+ (side_effect
2387
+ (aarch64_store16 (amode $I16 address offset) flags value)))
2388
+ (rule (lower
2389
+ (store flags value @ (value_type $I32) address offset))
2390
+ (side_effect
2391
+ (aarch64_store32 (amode $I32 address offset) flags value)))
2392
+ (rule (lower
2393
+ (store flags value @ (value_type $I64) address offset))
2394
+ (side_effect
2395
+ (aarch64_store64 (amode $I64 address offset) flags value)))
2396
+ (rule (lower
2397
+ (store flags value @ (value_type $R64) address offset))
2398
+ (side_effect
2399
+ (aarch64_store64 (amode $I64 address offset) flags value)))
2400
+
2401
+ (rule (lower
2402
+ (istore8 flags value address offset))
2403
+ (side_effect
2404
+ (aarch64_store8 (amode $I8 address offset) flags value)))
2405
+ (rule (lower
2406
+ (istore16 flags value address offset))
2407
+ (side_effect
2408
+ (aarch64_store16 (amode $I16 address offset) flags value)))
2409
+ (rule (lower
2410
+ (istore32 flags value address offset))
2411
+ (side_effect
2412
+ (aarch64_store32 (amode $I32 address offset) flags value)))
2413
+
2414
+ (rule (lower
2415
+ (store flags value @ (value_type $F32) address offset))
2416
+ (side_effect
2417
+ (aarch64_fpustore32 (amode $F32 address offset) flags value)))
2418
+ (rule (lower
2419
+ (store flags value @ (value_type $F64) address offset))
2420
+ (side_effect
2421
+ (aarch64_fpustore64 (amode $F64 address offset) flags value)))
2422
+
2423
+ (rule (lower
2424
+ (store flags value @ (value_type $I128) address offset))
2425
+ (side_effect
2426
+ (aarch64_storep64 (pair_amode address offset) flags
2427
+ (value_regs_get value 0)
2428
+ (value_regs_get value 1))))
2429
+
2430
+ (rule -1 (lower
2431
+ (store flags value @ (value_type (ty_vec64 _)) address offset))
2432
+ (side_effect
2433
+ (aarch64_fpustore64 (amode $F64 address offset) flags value)))
2434
+ (rule -3 (lower
2435
+ (store flags value @ (value_type (ty_vec128 _)) address offset))
2436
+ (side_effect
2437
+ (aarch64_fpustore128 (amode $I8X16 address offset) flags value)))
2438
+ (rule -2 (lower
2439
+ (store flags value @ (value_type (ty_dyn_vec64 _)) address offset))
2440
+ (side_effect
2441
+ (aarch64_fpustore64 (amode $F64 address offset) flags value)))
2442
+ (rule -4 (lower
2443
+ (store flags value @ (value_type (ty_dyn_vec128 _)) address offset))
2444
+ (side_effect
2445
+ (aarch64_fpustore128 (amode $I8X16 address offset) flags value)))
2446
+
2447
+ ;;; Rules for `{get,set}_pinned_reg` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2448
+
2449
+ (rule (lower (get_pinned_reg))
2450
+ (mov_from_preg (preg_pinned)))
2451
+
2452
+ (rule (lower (set_pinned_reg val))
2453
+ (side_effect (write_pinned_reg val)))
2454
+
2455
+ ;;; Rules for `bitcast` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2456
+
2457
+ ; SIMD&FP <=> SIMD&FP
2458
+ (rule 5 (lower (has_type (ty_float_or_vec _) (bitcast _ x @ (value_type (ty_float_or_vec _)))))
2459
+ x)
2460
+
2461
+ ; GPR => SIMD&FP
2462
+ (rule 4 (lower (has_type (ty_float_or_vec _) (bitcast _ x @ (value_type in_ty))))
2463
+ (if (ty_int_ref_scalar_64 in_ty))
2464
+ (mov_to_fpu x (scalar_size in_ty)))
2465
+
2466
+ ; SIMD&FP => GPR
2467
+ (rule 3 (lower (has_type out_ty (bitcast _ x @ (value_type (fits_in_64 (ty_float_or_vec _))))))
2468
+ (if (ty_int_ref_scalar_64 out_ty))
2469
+ (mov_from_vec x 0 (scalar_size out_ty)))
2470
+
2471
+ ; GPR <=> GPR
2472
+ (rule 2 (lower (has_type out_ty (bitcast _ x @ (value_type in_ty))))
2473
+ (if (ty_int_ref_scalar_64 out_ty))
2474
+ (if (ty_int_ref_scalar_64 in_ty))
2475
+ x)
2476
+ (rule 1 (lower (has_type $I128 (bitcast _ x @ (value_type $I128)))) x)
2477
+
2478
+ ;;; Rules for `extractlane` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2479
+
2480
+ ;; extractlane with lane 0 can pass through the value unchanged; upper
2481
+ ;; bits are undefined when a narrower type is in a wider register.
2482
+ (rule 2 (lower (has_type (ty_scalar_float _) (extractlane val (u8_from_uimm8 0))))
2483
+ val)
2484
+
2485
+ (rule 0 (lower (has_type (ty_int ty)
2486
+ (extractlane val
2487
+ (u8_from_uimm8 lane))))
2488
+ (mov_from_vec val lane (scalar_size ty)))
2489
+
2490
+ (rule 1 (lower (has_type (ty_scalar_float ty)
2491
+ (extractlane val @ (value_type vty)
2492
+ (u8_from_uimm8 lane))))
2493
+ (fpu_move_from_vec val lane (vector_size vty)))
2494
+
2495
+ ;;; Rules for `insertlane` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2496
+
2497
+ (rule 1 (lower (insertlane vec @ (value_type vty)
2498
+ val @ (value_type (ty_int _))
2499
+ (u8_from_uimm8 lane)))
2500
+ (mov_to_vec vec val lane (vector_size vty)))
2501
+
2502
+ (rule (lower (insertlane vec @ (value_type vty)
2503
+ val @ (value_type (ty_scalar_float _))
2504
+ (u8_from_uimm8 lane)))
2505
+ (mov_vec_elem vec val lane 0 (vector_size vty)))
2506
+
2507
+ ;;; Rules for `stack_addr` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2508
+
2509
+ (rule (lower (stack_addr stack_slot offset))
2510
+ (compute_stack_addr stack_slot offset))
2511
+
2512
+ ;;; Rules for `vhigh_bits` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2513
+
2514
+ ;; All three sequences use one integer temporary and two vector
2515
+ ;; temporaries. The shift is done early so as to give the register
2516
+ ;; allocator the possibility of using the same reg for `tmp_v1` and
2517
+ ;; `src_v` in the case that this is the last use of `src_v`. See
2518
+ ;; https://github.com/WebAssembly/simd/pull/201 for the background and
2519
+ ;; derivation of these sequences. Alternative sequences are discussed
2520
+ ;; in https://github.com/bytecodealliance/wasmtime/issues/2296,
2521
+ ;; although they are not used here.
2522
+
2523
+ (rule (lower (vhigh_bits vec @ (value_type $I8X16)))
2524
+ (let (
2525
+ ;; Replicate the MSB of each of the 16 byte lanes across
2526
+ ;; the whole lane (sshr is an arithmetic right shift).
2527
+ (shifted Reg (sshr_vec_imm vec 7 (VectorSize.Size8x16)))
2528
+ ;; Bitwise-and with a mask
2529
+ ;; `0x80402010_08040201_80402010_08040201` to get the bit
2530
+ ;; in the proper location for each group of 8 lanes.
2531
+ (anded Reg (and_vec shifted (constant_f128 0x80402010_08040201_80402010_08040201) (VectorSize.Size8x16)))
2532
+ ;; Produce a version of `anded` with upper 8 lanes and
2533
+ ;; lower 8 lanes swapped.
2534
+ (anded_swapped Reg (vec_extract anded anded 8))
2535
+ ;; Zip together the two; with the above this produces the lane permutation:
2536
+ ;; 15 7 14 6 13 5 12 4 11 3 10 2 9 1 8 0
2537
+ (zipped Reg (zip1 anded anded_swapped (VectorSize.Size8x16)))
2538
+ ;; Add 16-bit lanes together ("add across vector"), so we
2539
+ ;; get, in the low 16 bits, 15+14+...+8 in the high byte
2540
+ ;; and 7+6+...+0 in the low byte. This effectively puts
2541
+ ;; the 16 MSBs together, giving our results.
2542
+ ;;
2543
+ ;; N.B.: `Size16x8` is not a typo!
2544
+ (result Reg (addv zipped (VectorSize.Size16x8))))
2545
+ (mov_from_vec result 0 (ScalarSize.Size16))))
2546
+
2547
+ (rule (lower (vhigh_bits vec @ (value_type $I16X8)))
2548
+ (let (
2549
+ ;; Replicate the MSB of each of the 8 16-bit lanes across
2550
+ ;; the whole lane (sshr is an arithmetic right shift).
2551
+ (shifted Reg (sshr_vec_imm vec 15 (VectorSize.Size16x8)))
2552
+ ;; Bitwise-and with a mask
2553
+ ;; `0x0080_0040_0020_0010_0008_0004_0002_0001` to get the
2554
+ ;; bit in the proper location for each group of 4 lanes.
2555
+ (anded Reg (and_vec shifted (constant_f128 0x0080_0040_0020_0010_0008_0004_0002_0001) (VectorSize.Size16x8)))
2556
+ ;; Add lanes together to get the 8 MSBs in the low byte.
2557
+ (result Reg (addv anded (VectorSize.Size16x8))))
2558
+ (mov_from_vec result 0 (ScalarSize.Size16))))
2559
+
2560
+ (rule (lower (vhigh_bits vec @ (value_type $I32X4)))
2561
+ (let (
2562
+ ;; Replicate the MSB of each of the 4 32-bit lanes across
2563
+ ;; the whole lane (sshr is an arithmetic right shift).
2564
+ (shifted Reg (sshr_vec_imm vec 31 (VectorSize.Size32x4)))
2565
+ ;; Bitwise-and with a mask
2566
+ ;; `0x00000008_00000004_00000002_00000001` to get the bit
2567
+ ;; in the proper location for each group of 4 lanes.
2568
+ (anded Reg (and_vec shifted (constant_f128 0x00000008_00000004_00000002_00000001) (VectorSize.Size32x4)))
2569
+ ;; Add lanes together to get the 4 MSBs in the low byte.
2570
+ (result Reg (addv anded (VectorSize.Size32x4))))
2571
+ (mov_from_vec result 0 (ScalarSize.Size32))))
2572
+
2573
+ (rule (lower (vhigh_bits vec @ (value_type $I64X2)))
2574
+ (let (
2575
+ ;; Grab the MSB out of each of the lanes, right-shift to
2576
+ ;; LSB, and add with a left-shift of upper lane's MSB back
2577
+ ;; to bit 1. the whole lane (sshr is an arithmetic right
2578
+ ;; shift).
2579
+ (upper_msb Reg (mov_from_vec vec 1 (ScalarSize.Size64)))
2580
+ (lower_msb Reg (mov_from_vec vec 0 (ScalarSize.Size64)))
2581
+ (upper_msb Reg (lsr_imm $I64 upper_msb (imm_shift_from_u8 63)))
2582
+ (lower_msb Reg (lsr_imm $I64 lower_msb (imm_shift_from_u8 63))))
2583
+ (add_shift $I64 lower_msb upper_msb (lshl_from_u64 $I64 1))))
2584
+
2585
+ ;;; Rules for `uadd_overflow_trap` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2586
+
2587
+ (rule (lower (has_type (fits_in_64 ty) (uadd_overflow_trap a b tc)))
2588
+ (trap_if_overflow (add_with_flags_paired ty a b) tc))
2589
+
2590
+ ;;;; Helpers for `*_overflow` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2591
+
2592
+ ;; put a narrow value into a register and sign-/zero-extend depending on the ArgumentExtension
2593
+ (decl put_in_reg_ext32 (Value ArgumentExtension) Reg)
2594
+ (rule (put_in_reg_ext32 val (ArgumentExtension.Sext))
2595
+ (put_in_reg_sext32 val))
2596
+ (rule (put_in_reg_ext32 val (ArgumentExtension.Uext))
2597
+ (put_in_reg_zext32 val))
2598
+
2599
+ ;; For narrow values emit a normal op with both arguments zero/sign extended.
2600
+ ;; Then check if the output is the same as itself zero/sign extended from the narrower width.
2601
+ (decl overflow_op_small (Type Value Value ArgumentExtension ALUOp) InstOutput)
2602
+ (rule (overflow_op_small ty a b arg_ext alu_op)
2603
+ (let ((extend ExtendOp (lower_extend_op ty arg_ext))
2604
+
2605
+ ;; Instead of emitting two `{u,s}xt{b,h}` we do one as an instruction and
2606
+ ;; the other as an extend operation in the alu_op.
2607
+ ;;
2608
+ ;; uxtb a_ext, a
2609
+ ;; alu_op out, a_ext, b, {u,s}xtb
2610
+ ;; cmp out, out, {u,s}xtb
2611
+ ;; cset out_of, ne
2612
+ (a_ext Reg (put_in_reg_ext32 a arg_ext))
2613
+ (out Reg (alu_rrr_extend alu_op ty a_ext b extend))
2614
+ (out_of Reg (with_flags_reg
2615
+ (cmp_extend (OperandSize.Size32) out out extend)
2616
+ (cset (Cond.Ne)))))
2617
+ (output_pair
2618
+ (value_reg out)
2619
+ (value_reg out_of))))
2620
+
2621
+ ;; For register sized op's just emit a op+cset, without further masking.
2622
+ ;;
2623
+ ;; op out, a, b
2624
+ ;; cset out_of, cond
2625
+ ;;
2626
+ ;; conds expected:
2627
+ ;; Hs: Carry set, unsigned overflow; Vs: Signed Over-/Underflow;
2628
+ ;; Lo: Carry clear, meaning no unsigned overflow.
2629
+ ;; (this is because subtraction is implemented as an add with the two's complement value on aarch64, meaning there is a sub-overflow if the add does not overflow)
2630
+ (decl overflow_op_normal (Type Value Value ALUOp Cond) InstOutput)
2631
+ (rule (overflow_op_normal ty a b alu_op cond)
2632
+ (let ((out ValueRegs
2633
+ (with_flags
2634
+ (alu_rrr_with_flags_paired ty a b alu_op)
2635
+ (cset_paired cond))))
2636
+ (output_pair
2637
+ (value_regs_get out 0)
2638
+ (value_regs_get out 1))))
2639
+
2640
+ ;; For 128bit integers emit, for example, add+adcs+cset
2641
+ (decl overflow_op_128 (Value Value ALUOp ALUOp Cond) InstOutput)
2642
+ (rule (overflow_op_128 x y alu_op1 alu_op2 cond)
2643
+ (let
2644
+ ;; Get the high/low registers for `x`.
2645
+ ((x_regs ValueRegs x)
2646
+ (x_lo Reg (value_regs_get x_regs 0))
2647
+ (x_hi Reg (value_regs_get x_regs 1))
2648
+
2649
+ ;; Get the high/low registers for `y`.
2650
+ (y_regs ValueRegs y)
2651
+ (y_lo Reg (value_regs_get y_regs 0))
2652
+ (y_hi Reg (value_regs_get y_regs 1)))
2653
+ ;; cannot use the with_flags helper here but it should be fine right now
2654
+ (let
2655
+ ((lo_inst ProducesFlags (alu_rrr_with_flags_paired $I64 x_lo y_lo alu_op1))
2656
+ (hi_inst ConsumesAndProducesFlags (alu_rrr_with_flags_chained $I64 x_hi y_hi alu_op2))
2657
+ (of_inst ConsumesFlags (cset_paired cond))
2658
+
2659
+ (result MultiReg (with_flags_chained lo_inst hi_inst of_inst)))
2660
+ (multi_reg_to_pair_and_single result)))
2661
+ )
2662
+
2663
+ ;;;; Rules for `uadd_overflow` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2664
+
2665
+ ;; For values smaller than a register, we do a normal `add` with both arguments
2666
+ ;; zero extended. We then check if the output is the same as itself zero extended.
2667
+ (rule 1 (lower (has_type (fits_in_16 ty) (uadd_overflow a b)))
2668
+ (overflow_op_small ty a b (ArgumentExtension.Uext) (ALUOp.Add)))
2669
+
2670
+ ;; For register sized add's we just emit a adds+cset, without further masking.
2671
+ (rule 2 (lower (has_type (ty_32_or_64 ty) (uadd_overflow a b)))
2672
+ (overflow_op_normal ty a b (ALUOp.AddS) (Cond.Hs)))
2673
+
2674
+ ;; For 128bit integers we emit add+adcs+cset
2675
+ (rule 0 (lower (has_type $I128 (uadd_overflow x y)))
2676
+ (overflow_op_128 x y (ALUOp.AddS) (ALUOp.AdcS) (Cond.Hs)))
2677
+
2678
+ ;;;; Rules for `sadd_overflow` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2679
+
2680
+ ;; sxt{b,h} a_ext, a
2681
+ ;; add out, a_ext, b, sxt{b,h}
2682
+ ;; cmp out, out, sxt{b,h}
2683
+ ;; cset of, ne
2684
+ (rule 1 (lower (has_type (fits_in_16 ty) (sadd_overflow a b)))
2685
+ (overflow_op_small ty a b (ArgumentExtension.Sext) (ALUOp.Add)))
2686
+
2687
+ ;; adds a, b
2688
+ ;; cset of, vs
2689
+ (rule 2 (lower (has_type (ty_32_or_64 ty) (sadd_overflow a b)))
2690
+ (overflow_op_normal ty a b (ALUOp.AddS) (Cond.Vs)))
2691
+
2692
+ ;; adds x_lo, y_lo
2693
+ ;; addcs x_hi, y_hi
2694
+ ;; cset of, vs
2695
+ (rule 0 (lower (has_type $I128 (sadd_overflow x y)))
2696
+ (overflow_op_128 x y (ALUOp.AddS) (ALUOp.AdcS) (Cond.Vs)))
2697
+
2698
+ ;;;; Rules for `usub_overflow` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2699
+
2700
+ ;; uxt{b,h} a_ext, a
2701
+ ;; sub out, a_ext, b, ext{b,h}
2702
+ ;; cmp out, out, uxt{b,h}
2703
+ ;; cset of, ne
2704
+ (rule 1 (lower (has_type (fits_in_16 ty) (usub_overflow a b)))
2705
+ (overflow_op_small ty a b (ArgumentExtension.Uext) (ALUOp.Sub)))
2706
+
2707
+ ;; subs a, b
2708
+ ;; cset of, lo
2709
+ (rule 2 (lower (has_type (ty_32_or_64 ty) (usub_overflow a b)))
2710
+ (overflow_op_normal ty a b (ALUOp.SubS) (Cond.Lo)))
2711
+
2712
+ ;; subs x_lo, y_lo
2713
+ ;; sbcs x_hi, y_hi
2714
+ ;; cset of, lo
2715
+ (rule 0 (lower (has_type $I128 (usub_overflow x y)))
2716
+ (overflow_op_128 x y (ALUOp.SubS) (ALUOp.SbcS) (Cond.Lo)))
2717
+
2718
+ ;;;; Rules for `ssub_overflow` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2719
+
2720
+ ;; sxt{b,h} a_ext, a
2721
+ ;; sub out, a_ext, b, sxt{b,h}
2722
+ ;; cmp out, out, sxt{b,h}
2723
+ ;; cset of, ne
2724
+ (rule 1 (lower (has_type (fits_in_16 ty) (ssub_overflow a b)))
2725
+ (overflow_op_small ty a b (ArgumentExtension.Sext) (ALUOp.Sub)))
2726
+
2727
+ ;; subs a, b
2728
+ ;; cset of, vs
2729
+ (rule 2 (lower (has_type (ty_32_or_64 ty) (ssub_overflow a b)))
2730
+ (overflow_op_normal ty a b (ALUOp.SubS) (Cond.Vs)))
2731
+
2732
+ ;; subs x_lo, y_lo
2733
+ ;; sbcs x_hi, y_hi
2734
+ ;; cset of, vs
2735
+ (rule 0 (lower (has_type $I128 (ssub_overflow x y)))
2736
+ (overflow_op_128 x y (ALUOp.SubS) (ALUOp.SbcS) (Cond.Vs)))
2737
+
2738
+ ;;;; Rules for `umul_overflow` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2739
+
2740
+ ;; uxt{b,h} a_ext, a
2741
+ ;; uxt{b,h} b_ext, b
2742
+ ;; mul out, a_ext, b_ext
2743
+ ;; cmp out, out, uxt{b,h}
2744
+ ;; cset of, ne
2745
+ (rule 1 (lower (has_type (fits_in_16 ty) (umul_overflow a b)))
2746
+ (let ((extend ExtendOp (lower_extend_op ty (ArgumentExtension.Uext)))
2747
+
2748
+ (a_uext Reg (put_in_reg_zext32 a))
2749
+ (b_uext Reg (put_in_reg_zext32 b))
2750
+ (out Reg (madd ty a_uext b_uext (zero_reg)))
2751
+ (out_of Reg (with_flags_reg
2752
+ (cmp_extend (OperandSize.Size32) out out extend)
2753
+ (cset (Cond.Ne)))))
2754
+ (output_pair
2755
+ (value_reg out)
2756
+ (value_reg out_of))))
2757
+
2758
+ ;; umull out, a, b
2759
+ ;; cmp out, out, uxtw
2760
+ ;; cset of, ne
2761
+ (rule 2 (lower (has_type $I32 (umul_overflow a b)))
2762
+ (let (
2763
+ (out Reg (umaddl a b (zero_reg)))
2764
+ (out_of Reg (with_flags_reg
2765
+ (cmp_extend (OperandSize.Size64) out out (ExtendOp.UXTW))
2766
+ (cset (Cond.Ne)))))
2767
+ (output_pair
2768
+ (value_reg out)
2769
+ (value_reg out_of))))
2770
+
2771
+ ;; mul out, a, b
2772
+ ;; umulh tmp, a, b
2773
+ ;; cmp tmp, #0
2774
+ ;; cset of, ne
2775
+ (rule 2 (lower (has_type $I64 (umul_overflow a b)))
2776
+ (let (
2777
+ (out Reg (madd $I64 a b (zero_reg)))
2778
+ (tmp Reg (umulh $I64 a b))
2779
+ (out_of Reg (with_flags_reg
2780
+ (cmp64_imm tmp (u8_into_imm12 0))
2781
+ (cset (Cond.Ne)))))
2782
+ (output_pair
2783
+ (value_reg out)
2784
+ (value_reg out_of))))
2785
+
2786
+ ;;;; Rules for `smul_overflow` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2787
+
2788
+ ;; sxt{b,h} a_ext, a
2789
+ ;; sxt{b,h} b_ext, b
2790
+ ;; mul out, a_ext, b_ext
2791
+ ;; cmp out, out, sxt{b,h}
2792
+ ;; cset of, ne
2793
+ (rule 1 (lower (has_type (fits_in_16 ty) (smul_overflow a b)))
2794
+ (let ((extend ExtendOp (lower_extend_op ty (ArgumentExtension.Sext)))
2795
+
2796
+ (a_sext Reg (put_in_reg_sext32 a))
2797
+ (b_sext Reg (put_in_reg_sext32 b))
2798
+ (out Reg (madd ty a_sext b_sext (zero_reg)))
2799
+ (out_of Reg (with_flags_reg
2800
+ (cmp_extend (OperandSize.Size32) out out extend)
2801
+ (cset (Cond.Ne)))))
2802
+ (output_pair
2803
+ (value_reg out)
2804
+ (value_reg out_of))))
2805
+
2806
+ ;; smull out, a, b
2807
+ ;; cmp out, out, sxtw
2808
+ ;; cset of, ne
2809
+ (rule 2 (lower (has_type $I32 (smul_overflow a b)))
2810
+ (let (
2811
+ (out Reg (smaddl a b (zero_reg)))
2812
+ (out_of Reg (with_flags_reg
2813
+ (cmp_extend (OperandSize.Size64) out out (ExtendOp.SXTW))
2814
+ (cset (Cond.Ne)))))
2815
+ (output_pair
2816
+ (value_reg out)
2817
+ (value_reg out_of))))
2818
+
2819
+ ;; mul out, a, b
2820
+ ;; smulh tmp, a, b
2821
+ ;; cmp tmp, out, ASR #63
2822
+ ;; cset of, ne
2823
+ (rule 2 (lower (has_type $I64 (smul_overflow a b)))
2824
+ (let (
2825
+ (out Reg (madd $I64 a b (zero_reg)))
2826
+ (tmp Reg (smulh $I64 a b))
2827
+ (out_of Reg (with_flags_reg
2828
+ (cmp_rr_shift_asr (OperandSize.Size64) tmp out 63)
2829
+ (cset (Cond.Ne)))))
2830
+ (output_pair
2831
+ (value_reg out)
2832
+ (value_reg out_of))))
2833
+
2834
+ ;;; Rules for `tls_value` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2835
+
2836
+ (rule (lower (has_type (tls_model (TlsModel.ElfGd)) (tls_value (symbol_value_data name _ _))))
2837
+ (elf_tls_get_addr name))
2838
+
2839
+ (rule (lower (has_type (tls_model (TlsModel.Macho)) (tls_value (symbol_value_data name _ _))))
2840
+ (macho_tls_get_addr name))
2841
+
2842
+ ;;; Rules for `fvpromote_low` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2843
+
2844
+ (rule (lower (fvpromote_low val))
2845
+ (vec_rr_long (VecRRLongOp.Fcvtl32) val $false))
2846
+
2847
+ ;;; Rules for `brif` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2848
+
2849
+ ;; `brif` following `icmp`
2850
+ (rule (lower_branch (brif (maybe_uextend (icmp cc x @ (value_type ty) y)) _ _) targets)
2851
+ (let ((comparison FlagsAndCC (lower_icmp_into_flags cc x y ty))
2852
+ (cond Cond (cond_code (flags_and_cc_cc comparison)))
2853
+ (taken BranchTarget (branch_target targets 0))
2854
+ (not_taken BranchTarget (branch_target targets 1)))
2855
+ (emit_side_effect
2856
+ (with_flags_side_effect (flags_and_cc_flags comparison)
2857
+ (cond_br taken
2858
+ not_taken
2859
+ (cond_br_cond cond))))))
2860
+
2861
+ ;; `brif` following `fcmp`
2862
+ (rule (lower_branch (brif (maybe_uextend (fcmp cc x @ (value_type (ty_scalar_float ty)) y)) _ _) targets)
2863
+ (let ((cond Cond (fp_cond_code cc))
2864
+ (taken BranchTarget (branch_target targets 0))
2865
+ (not_taken BranchTarget (branch_target targets 1)))
2866
+ (emit_side_effect
2867
+ (with_flags_side_effect (fpu_cmp (scalar_size ty) x y)
2868
+ (cond_br taken not_taken
2869
+ (cond_br_cond cond))))))
2870
+
2871
+ ;; standard `brif`
2872
+ (rule -1 (lower_branch (brif c @ (value_type $I128) _ _) targets)
2873
+ (let ((flags ProducesFlags (flags_to_producesflags c))
2874
+ (c ValueRegs (put_in_regs c))
2875
+ (c_lo Reg (value_regs_get c 0))
2876
+ (c_hi Reg (value_regs_get c 1))
2877
+ (rt Reg (orr $I64 c_lo c_hi))
2878
+ (taken BranchTarget (branch_target targets 0))
2879
+ (not_taken BranchTarget (branch_target targets 1)))
2880
+ (emit_side_effect
2881
+ (with_flags_side_effect flags
2882
+ (cond_br taken not_taken (cond_br_not_zero rt))))))
2883
+ (rule -2 (lower_branch (brif c @ (value_type ty) _ _) targets)
2884
+ (if (ty_int_ref_scalar_64 ty))
2885
+ (let ((flags ProducesFlags (flags_to_producesflags c))
2886
+ (rt Reg (put_in_reg_zext64 c))
2887
+ (taken BranchTarget (branch_target targets 0))
2888
+ (not_taken BranchTarget (branch_target targets 1)))
2889
+ (emit_side_effect
2890
+ (with_flags_side_effect flags
2891
+ (cond_br taken not_taken (cond_br_not_zero rt))))))
2892
+
2893
+ ;;; Rules for `jump` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2894
+
2895
+ (rule (lower_branch (jump _) targets)
2896
+ (emit_side_effect (aarch64_jump (branch_target targets 0))))
2897
+
2898
+ ;;; Rules for `br_table` ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;
2899
+
2900
+ ;; `targets` contains the default target with the list of branch targets
2901
+ ;; concatenated.
2902
+ (rule (lower_branch (br_table idx _) targets)
2903
+ (let ((jt_size u32 (targets_jt_size targets))
2904
+ (_ InstOutput (side_effect
2905
+ (emit_island (targets_jt_space targets))))
2906
+ (ridx Reg (put_in_reg_zext32 idx)))
2907
+ (br_table_impl (u32_as_u64 jt_size) ridx targets)))