ruby-vpi 18.0.1 → 18.0.2

Sign up to get free protection for your applications and to get access to all the features.
Files changed (56) hide show
  1. data/doc/history.html +64 -41
  2. data/doc/history.inc +7 -1
  3. data/doc/history.yaml +14 -0
  4. data/doc/manual.html +129 -129
  5. data/doc/memo.html +4 -4
  6. data/doc/readme.html +11 -11
  7. data/doc/rss.erb +1 -2
  8. data/doc/rss.xml +7 -252
  9. data/lib/ruby-vpi/runner_boot_loader.rb +1 -1
  10. data/ref/c/annotated.html +1 -1
  11. data/ref/c/common_8h.html +1 -1
  12. data/ref/c/files.html +1 -1
  13. data/ref/c/functions.html +1 -1
  14. data/ref/c/functions_vars.html +1 -1
  15. data/ref/c/globals.html +1 -1
  16. data/ref/c/globals_0x63.html +1 -1
  17. data/ref/c/globals_0x65.html +1 -1
  18. data/ref/c/globals_0x66.html +1 -1
  19. data/ref/c/globals_0x6d.html +1 -1
  20. data/ref/c/globals_0x70.html +1 -1
  21. data/ref/c/globals_0x72.html +1 -1
  22. data/ref/c/globals_0x73.html +1 -1
  23. data/ref/c/globals_0x74.html +1 -1
  24. data/ref/c/globals_0x76.html +1 -1
  25. data/ref/c/globals_0x78.html +1 -1
  26. data/ref/c/globals_defs.html +1 -1
  27. data/ref/c/globals_defs_0x65.html +1 -1
  28. data/ref/c/globals_defs_0x70.html +1 -1
  29. data/ref/c/globals_defs_0x76.html +1 -1
  30. data/ref/c/globals_defs_0x78.html +1 -1
  31. data/ref/c/globals_enum.html +1 -1
  32. data/ref/c/globals_eval.html +1 -1
  33. data/ref/c/globals_func.html +1 -1
  34. data/ref/c/globals_type.html +1 -1
  35. data/ref/c/globals_vars.html +1 -1
  36. data/ref/c/index.html +1 -1
  37. data/ref/c/main_8c.html +1 -1
  38. data/ref/c/main_8h.html +1 -1
  39. data/ref/c/relay_8c.html +1 -1
  40. data/ref/c/relay_8h.html +1 -1
  41. data/ref/c/structt__cb__data.html +1 -1
  42. data/ref/c/structt__vpi__delay.html +1 -1
  43. data/ref/c/structt__vpi__error__info.html +1 -1
  44. data/ref/c/structt__vpi__strengthval.html +1 -1
  45. data/ref/c/structt__vpi__systf__data.html +1 -1
  46. data/ref/c/structt__vpi__time.html +1 -1
  47. data/ref/c/structt__vpi__value.html +1 -1
  48. data/ref/c/structt__vpi__vecval.html +1 -1
  49. data/ref/c/structt__vpi__vlog__info.html +1 -1
  50. data/ref/c/verilog_8h.html +1 -1
  51. data/ref/c/vlog_8c.html +1 -1
  52. data/ref/c/vlog_8h.html +1 -1
  53. data/ref/c/vpi__user_8h.html +1 -1
  54. data/ref/ruby/created.rid +1 -1
  55. data/ref/ruby/files/lib/ruby-vpi/runner_boot_loader_rb.html +1 -1
  56. metadata +2 -2
data/doc/memo.html CHANGED
@@ -25,7 +25,7 @@
25
25
 
26
26
  <div id="Pending_tasks" class="section">
27
27
  <h1 class="title">
28
- <a href="#a-606364148">1</a>
28
+ <a href="#a-607418218">1</a>
29
29
 
30
30
  &nbsp;
31
31
 
@@ -70,7 +70,7 @@
70
70
 
71
71
  <div id="Finished_tasks" class="section">
72
72
  <h1 class="title">
73
- <a href="#a-606373658">2</a>
73
+ <a href="#a-607422868">2</a>
74
74
 
75
75
  &nbsp;
76
76
 
@@ -160,7 +160,7 @@
160
160
 
161
161
  <div id="Obsolete_tasks" class="section">
162
162
  <h1 class="title">
163
- <a href="#a-606379988">3</a>
163
+ <a href="#a-607428258">3</a>
164
164
 
165
165
  &nbsp;
166
166
 
@@ -199,7 +199,7 @@
199
199
  <hr style="display: none"/>
200
200
  <div id="toc">
201
201
  <h1 id="toc:contents">Contents</h1>
202
- <ul><li><span class="hide">1 </span><a id="a-606364148" href="#Pending_tasks">Pending tasks</a></li><li><span class="hide">2 </span><a id="a-606373658" href="#Finished_tasks">Finished tasks</a></li><li><span class="hide">3 </span><a id="a-606379988" href="#Obsolete_tasks">Obsolete tasks</a></li></ul>
202
+ <ul><li><span class="hide">1 </span><a id="a-607418218" href="#Pending_tasks">Pending tasks</a></li><li><span class="hide">2 </span><a id="a-607422868" href="#Finished_tasks">Finished tasks</a></li><li><span class="hide">3 </span><a id="a-607428258" href="#Obsolete_tasks">Obsolete tasks</a></li></ul>
203
203
 
204
204
  </div>
205
205
  </body>
data/doc/readme.html CHANGED
@@ -17,7 +17,7 @@
17
17
  </div>
18
18
 
19
19
 
20
- <div id="body"><div style="float: right">Version 18.0.1</div>
20
+ <div id="body"><div style="float: right">Version 18.0.2</div>
21
21
  <h1 style="padding-top: 0">Ruby-VPI</h1>
22
22
 
23
23
  <p><a href="http://www.ruby-lang.org"><img src="images/ruby/logo-reflection.png" alt="Logo of the Ruby programming language" style="margin: 0; margin-left: 2em; margin-bottom: 1em; float: right"/></a></p>
@@ -42,7 +42,7 @@
42
42
 
43
43
  <div id="resources" class="section">
44
44
  <h1 class="title">
45
- <a href="#a-607411038">1</a>
45
+ <a href="#a-607228958">1</a>
46
46
 
47
47
  &nbsp;
48
48
 
@@ -53,8 +53,8 @@
53
53
  <div id="Records" class="paragraph">
54
54
  <p class="title">Records</p>
55
55
  <ul>
56
- <li><a href="history.html#a18.0.1">What&#8217;s new</a>
57
- &#8211; release notes for version 18.0.1.
56
+ <li><a href="history.html#a18.0.2">What&#8217;s new</a>
57
+ &#8211; release notes for version 18.0.2.
58
58
  <ul>
59
59
  <li><a href="history.html">History</a>
60
60
  &#8211; a record of all release notes.</li>
@@ -122,7 +122,7 @@
122
122
 
123
123
  <div id="intro.features" class="section">
124
124
  <h1 class="title">
125
- <a href="#a-607426208">2</a>
125
+ <a href="#a-607249798">2</a>
126
126
 
127
127
  &nbsp;
128
128
 
@@ -193,7 +193,7 @@
193
193
 
194
194
  <div id="intro.reqs" class="section">
195
195
  <h1 class="title">
196
- <a href="#a-607438228">3</a>
196
+ <a href="#a-607272338">3</a>
197
197
 
198
198
  &nbsp;
199
199
 
@@ -291,7 +291,7 @@
291
291
 
292
292
  <div id="intro.applications" class="section">
293
293
  <h1 class="title">
294
- <a href="#a-607440758">4</a>
294
+ <a href="#a-607276548">4</a>
295
295
 
296
296
  &nbsp;
297
297
 
@@ -337,7 +337,7 @@
337
337
 
338
338
  <div id="intro.appetizers" class="section">
339
339
  <h1 class="title">
340
- <a href="#a-607443328">5</a>
340
+ <a href="#a-607279658">5</a>
341
341
 
342
342
  &nbsp;
343
343
 
@@ -405,7 +405,7 @@
405
405
 
406
406
  <div id="intro.license" class="section">
407
407
  <h1 class="title">
408
- <a href="#a-607446158">6</a>
408
+ <a href="#a-607284018">6</a>
409
409
 
410
410
  &nbsp;
411
411
 
@@ -451,7 +451,7 @@ IMPLIED, INCLUDING <span class="caps">BUT NOT LIMITED TO THE WARRANTIES OF MERCH
451
451
 
452
452
  <div id="intro.related-works" class="section">
453
453
  <h1 class="title">
454
- <a href="#a-607451478">7</a>
454
+ <a href="#a-607290678">7</a>
455
455
 
456
456
  &nbsp;
457
457
 
@@ -472,7 +472,7 @@ IMPLIED, INCLUDING <span class="caps">BUT NOT LIMITED TO THE WARRANTIES OF MERCH
472
472
 
473
473
  <div id="intro.related-works.pli" class="section">
474
474
  <h2 class="title">
475
- <a href="#a-607448588">7.1</a>
475
+ <a href="#a-607287248">7.1</a>
476
476
 
477
477
  &nbsp;
478
478
 
data/doc/rss.erb CHANGED
@@ -3,7 +3,6 @@
3
3
 
4
4
  require 'history'
5
5
  require 'date'
6
- require 'time'
7
6
  %>
8
7
  <?xml version="1.0" encoding="utf-8"?>
9
8
  <rss version="2.0">
@@ -12,7 +11,7 @@
12
11
  <link><%= PROJECT_URL %></link>
13
12
  <description><%= PROJECT_DETAIL %></description>
14
13
  <%
15
- @history[0,3].each do |entry|
14
+ @history[0,1].each do |entry|
16
15
  link = HISTORY_URL + '#' + entry['Version'].to_html_anchor
17
16
 
18
17
  stamp = Time.parse entry['Date'].to_s
data/doc/rss.xml CHANGED
@@ -5,266 +5,21 @@
5
5
  <link>http://ruby-vpi.rubyforge.org</link>
6
6
  <description>Ruby-VPI is a Ruby interface to IEEE 1364-2005 Verilog VPI and a platform for unit testing, rapid prototyping, and systems integration of Verilog modules through Ruby. It lets you create complex Verilog test benches easily and wholly in Ruby.</description>
7
7
  <item>
8
- <title>Version 18.0.1 released</title>
9
- <link>http://ruby-vpi.rubyforge.org/doc/history.html#a18.0.1</link>
10
- <guid>http://ruby-vpi.rubyforge.org/doc/history.html#a18.0.1</guid>
11
- <description>&lt;h1 id=&quot;18.0.1&quot;&gt;Version 18.0.1 (2007-08-02)&lt;/h1&gt;
8
+ <title>Version 18.0.2 released</title>
9
+ <link>http://ruby-vpi.rubyforge.org/doc/history.html#a18.0.2</link>
10
+ <guid>http://ruby-vpi.rubyforge.org/doc/history.html#a18.0.2</guid>
11
+ <description>&lt;h1 id=&quot;18.0.2&quot;&gt;Version 18.0.2 (2007-08-03)&lt;/h1&gt;
12
12
 
13
- &lt;p&gt;This release fixes some bugs regarding simulation time and control.&lt;/p&gt;
14
-
15
-
16
- &lt;h2&gt;Thanks&lt;/h2&gt;
17
-
18
-
19
- &lt;ul&gt;
20
- &lt;li&gt;Calvin Wong reported the bugs listed in the Repairs section.&lt;/li&gt;
21
- &lt;/ul&gt;
22
-
23
-
24
- &lt;h2&gt;Repairs&lt;/h2&gt;
25
-
26
-
27
- &lt;ul&gt;
28
- &lt;li&gt;VCS does not acknowledge passage of time when cbReadWriteSynch callback is used, so I reverted back to using the cbAfterDelay callback (as was done in version 17.0.0).&lt;/li&gt;
29
- &lt;/ul&gt;
30
-
31
-
32
- &lt;ul&gt;
33
- &lt;li&gt;Ruby-VPI did not give control back to the Verilog simulator before it exited. As a result, the Verilog simulator did not have a chance to do any clean up or finish any pending tasks that remained in the simulation.&lt;/li&gt;
34
- &lt;/ul&gt;</description>
35
- <pubDate>Thu, 02 Aug 2007 00:00:00 PDT</pubDate>
36
- </item>
37
- <item>
38
- <title>Version 18.0.0 released</title>
39
- <link>http://ruby-vpi.rubyforge.org/doc/history.html#a18.0.0</link>
40
- <guid>http://ruby-vpi.rubyforge.org/doc/history.html#a18.0.0</guid>
41
- <description>&lt;h1 id=&quot;18.0.0&quot;&gt;Version 18.0.0 (2007-07-31)&lt;/h1&gt;
42
-
43
- &lt;p&gt;This release restores support for Synopsys VCS and Cadence NC-Sim, improves portability and performance, and enhances the VPI abstraction layer.&lt;/p&gt;
44
-
45
-
46
- &lt;h2&gt;Thanks&lt;/h2&gt;
47
-
48
-
49
- &lt;ul&gt;
50
- &lt;li&gt;Calvin Wong discovered &lt;a href=&quot;http://rubyforge.org/forum/message.php?msg_id=25691&quot;&gt;how to bypass the following error&lt;/a&gt; emitted by Synopsys VCS when loading the Ruby-VPI shared object file.
51
-
52
- &lt;pre&gt;
53
- Could not open library specified in -load option obj/vcs
54
- obj/vcs: undefined symbol: vpi_put_data
55
- &lt;/pre&gt;&lt;/li&gt;
56
- &lt;/ul&gt;
57
-
58
-
59
- &lt;h2&gt;Caution&lt;/h2&gt;
60
-
61
-
62
- &lt;ul&gt;
63
- &lt;li&gt;&lt;a href=&quot;http://www.swig.org/&quot;&gt;SWIG&lt;/a&gt; is now required in order to compile and install Ruby-VPI.&lt;/li&gt;
64
- &lt;/ul&gt;
65
-
66
-
67
- &lt;ul&gt;
68
- &lt;li&gt;&lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Vpi&lt;/span&gt;::&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Handle&lt;/span&gt;.put_value&lt;/code&gt; no longer checks whether the value written matches the value read back after writing because that only works when there is no VPI delay associated with the write.&lt;/li&gt;
69
- &lt;/ul&gt;
70
-
71
-
72
- &lt;ul&gt;
73
- &lt;li&gt;When &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Vpi&lt;/span&gt;::&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Handle&lt;/span&gt;.put_value&lt;/code&gt; is used to write a value to a wire (VpiNet), it now &lt;em&gt;forces&lt;/em&gt; the value. This is done to ensure portability:
74
-
75
- &lt;p&gt;Synopsys VCS and Cadence NC-Sim forget the value written to a wire during the next simulation time step, whereas Mentor Modelsim and GPL Cver remember the value (thereby treating wires as registers).&lt;/p&gt;
76
-
77
-
78
- &lt;p&gt;Note that you have to release a forced value (using &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Vpi&lt;/span&gt;::&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Handle&lt;/span&gt;.release_value&lt;/code&gt;) on a wire after writing to the wire if you want the design under test have the ability to update the value of the wire later on:&lt;/p&gt;
79
-
80
-
81
- &lt;pre class=&quot;code&quot;&gt;
82
- your_wire.intVal = &lt;span style=&quot;color:#00D; font-weight:bold&quot;&gt;15&lt;/span&gt;
83
- your_wire.release_value
84
- advance_time &lt;span style=&quot;color:#888&quot;&gt;# let the Verilog DUT modify your_wire&lt;/span&gt;
85
- &lt;/pre&gt;
86
-
87
-
88
- &lt;p&gt;In addition, you can check if a wire has a forced value using the &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Vpi&lt;/span&gt;::&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Handle&lt;/span&gt;.value_forced?&lt;/code&gt; method.&lt;/p&gt;&lt;/li&gt;
89
- &lt;/ul&gt;
90
-
91
-
92
- &lt;ul&gt;
93
- &lt;li&gt;If the format is not specified, &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Vpi&lt;/span&gt;::&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Handle&lt;/span&gt;.get_value&lt;/code&gt; now assumes that you want to read the value in VpiIntVal format (because this is the most common case).&lt;/li&gt;
94
- &lt;/ul&gt;
95
-
96
-
97
- &lt;ul&gt;
98
- &lt;li&gt;The definition of &amp;#8220;Derivatives&amp;#8221; has been reworded for clarity in the &lt;a href=&quot;readme.html#intro.license&quot;&gt;project license&lt;/a&gt;.&lt;/li&gt;
99
- &lt;/ul&gt;
100
-
101
-
102
- &lt;h2&gt;Repairs&lt;/h2&gt;
103
-
104
-
105
- &lt;ul&gt;
106
- &lt;li&gt;Synopsys VCS and Cadence NC-Sim simulators now correctly load Ruby-VPI. Also, the 64-bit versions of these simulators are now supported.&lt;/li&gt;
107
- &lt;/ul&gt;
108
-
109
-
110
- &lt;h2&gt;Improvements&lt;/h2&gt;
111
-
112
-
113
- &lt;ul&gt;
114
- &lt;li&gt;Added &amp;#8220;force_value&amp;#8221;, &amp;#8220;release_value&amp;#8221;, and &amp;#8220;value_forced?&amp;#8221; methods to the &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Vpi&lt;/span&gt;::&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Handle&lt;/span&gt;&lt;/code&gt; class.&lt;/li&gt;
115
- &lt;/ul&gt;
116
-
117
-
118
- &lt;ul&gt;
119
- &lt;li&gt;&lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Vpi&lt;/span&gt;::&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Handle&lt;/span&gt;.put_value&lt;/code&gt; now tries to automatically detect the format of the value to be written. As a result, you can now write &lt;code class=&quot;code&quot;&gt;your_handle.put_value &lt;span style=&quot;color:#00D; font-weight:bold&quot;&gt;35&lt;/span&gt;&lt;/code&gt; in lieu of writing &lt;code class=&quot;code&quot;&gt;your_handle.intVal = &lt;span style=&quot;color:#00D; font-weight:bold&quot;&gt;35&lt;/span&gt;&lt;/code&gt;.&lt;/li&gt;
120
- &lt;/ul&gt;
121
-
122
-
123
- &lt;ul&gt;
124
- &lt;li&gt;&lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Vpi&lt;/span&gt;::&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Handle&lt;/span&gt;.inspect&lt;/code&gt; now shows the hexStrVal of the handle (because it is a common case to want to see a handle&amp;#8217;s logic value along with its other information).&lt;/li&gt;
125
- &lt;/ul&gt;
126
-
127
-
128
- &lt;ul&gt;
129
- &lt;li&gt;Added method caching (memoizing) for VPI property accesses on handles.&lt;/li&gt;
130
- &lt;/ul&gt;</description>
131
- <pubDate>Tue, 31 Jul 2007 00:00:00 PDT</pubDate>
132
- </item>
133
- <item>
134
- <title>Version 17.0.0 released</title>
135
- <link>http://ruby-vpi.rubyforge.org/doc/history.html#a17.0.0</link>
136
- <guid>http://ruby-vpi.rubyforge.org/doc/history.html#a17.0.0</guid>
137
- <description>&lt;h1 id=&quot;17.0.0&quot;&gt;Version 17.0.0 (2007-07-22)&lt;/h1&gt;
138
-
139
- &lt;p&gt;This release makes the Ruby-VPI testing framework more lightweight by reducing the number of files and parameters involved.&lt;/p&gt;
140
-
141
-
142
- &lt;h2&gt;Caution&lt;/h2&gt;
143
-
144
-
145
- &lt;ul&gt;
146
- &lt;li&gt;The &lt;strong&gt;generate_test.rb&lt;/strong&gt; and &lt;strong&gt;convert.rb&lt;/strong&gt; executables have been replaced by a single &lt;strong&gt;ruby-vpi&lt;/strong&gt; executable to avoid name conflicts with other software. They are now accessed using the &lt;pre&gt;ruby-vpi generate&lt;/pre&gt; and &lt;pre&gt;ruby-vpi convert&lt;/pre&gt; commands respectively.&lt;/li&gt;
147
- &lt;/ul&gt;
148
-
149
-
150
- &lt;ul&gt;
151
- &lt;li&gt;The &lt;tt&gt;--name&lt;/tt&gt; parameter has been removed from the automated test generator. Similarly, you can no longer insert an arbitrary name in a test&amp;#8217;s file names (see the &lt;a href=&quot;manual.html#Do_not_rename_generated_files&quot;&gt;user manual&lt;/a&gt; for details).&lt;/li&gt;
152
- &lt;/ul&gt;
153
-
154
-
155
- &lt;ul&gt;
156
- &lt;li&gt;The &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;DEBUG&lt;/span&gt;&lt;/code&gt; environment variable, which controls wether the test runner starts the interactive debugger, has been renamed to &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;DEBUGGER&lt;/span&gt;&lt;/code&gt;.&lt;/li&gt;
157
- &lt;/ul&gt;
158
-
159
-
160
- &lt;ul&gt;
161
- &lt;li&gt;The &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;SIMULATOR_TARGET&lt;/span&gt;&lt;/code&gt; and &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;SIMULATOR_INCLUDES&lt;/span&gt;&lt;/code&gt; parameters have been eliminated from test runners. The former is detected automatically. As for the latter, you can specify paths to directories in the &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;SIMULATOR_SOURCES&lt;/span&gt;&lt;/code&gt; parameter instead.&lt;/li&gt;
162
- &lt;/ul&gt;
163
-
164
-
165
- &lt;ul&gt;
166
- &lt;li&gt;The &lt;tt&gt;bench.rb&lt;/tt&gt; and &lt;tt&gt;bench.v&lt;/tt&gt; files of a generated test are (1) no longer generated by the test generator and (2) are now ignored. You must move the functionality provided by these files into &lt;tt&gt;design.rb&lt;/tt&gt; and your Verilog module&amp;#8217;s source file respectively.&lt;/li&gt;
167
- &lt;/ul&gt;
168
-
169
-
170
- &lt;ul&gt;
171
- &lt;li&gt;The &lt;tt&gt;design.rb&lt;/tt&gt; and &lt;tt&gt;proto.rb&lt;/tt&gt; files are now loaded into a separate namespace (Ruby module). For example, if the Verilog module under test has the name &amp;#8220;counter&amp;#8221; and the &lt;tt&gt;design.rb&lt;/tt&gt; file defines a class named &amp;#8220;Foo&amp;#8221;, then that class will be accessible as &amp;#8220;Counter::Foo&amp;#8221;.&lt;/li&gt;
172
- &lt;/ul&gt;
173
-
174
-
175
- &lt;ul&gt;
176
- &lt;li&gt;The global &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Vpi&lt;/span&gt;::simulate&lt;/code&gt; method has been replaced by the &lt;code class=&quot;code&quot;&gt;cycle!&lt;/code&gt; method in the &lt;tt&gt;design.rb&lt;/tt&gt; file.&lt;/li&gt;
177
- &lt;/ul&gt;
178
-
179
-
180
- &lt;ul&gt;
181
- &lt;li&gt;The &lt;code class=&quot;code&quot;&gt;simulate!&lt;/code&gt; method in the &lt;tt&gt;proto.rb&lt;/tt&gt; file has been renamed to &lt;code class=&quot;code&quot;&gt;feign!&lt;/code&gt; for accuracy.&lt;/li&gt;
182
- &lt;/ul&gt;
183
-
184
-
185
- &lt;ul&gt;
186
- &lt;li&gt;The &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;RubyVpi&lt;/span&gt;&lt;/code&gt; module has been renamed to &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;RubyVPI&lt;/span&gt;&lt;/code&gt;.&lt;/li&gt;
187
- &lt;/ul&gt;
188
-
189
-
190
- &lt;ul&gt;
191
- &lt;li&gt;RSpec &amp;gt;= 1.0.0 is now required by Ruby-VPI.&lt;/li&gt;
192
- &lt;/ul&gt;
193
-
194
-
195
- &lt;ul&gt;
196
- &lt;li&gt;The &lt;a href=&quot;readme.html#intro.license&quot;&gt;project license&lt;/a&gt; has been further simplified.&lt;/li&gt;
197
- &lt;/ul&gt;
13
+ &lt;p&gt;This release fixes a bug in error reporting.&lt;/p&gt;
198
14
 
199
15
 
200
16
  &lt;h2&gt;Repairs&lt;/h2&gt;
201
17
 
202
18
 
203
19
  &lt;ul&gt;
204
- &lt;li&gt;Restored support for Enumerable methods in &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Vpi&lt;/span&gt;::&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Handle&lt;/span&gt;&lt;/code&gt;.&lt;/li&gt;
205
- &lt;/ul&gt;
206
-
207
-
208
- &lt;ul&gt;
209
- &lt;li&gt;The specification now begins to execute at simulation time 0. Previously it began at simulation time 1.&lt;/li&gt;
210
- &lt;/ul&gt;
211
-
212
-
213
- &lt;h2&gt;Improvements&lt;/h2&gt;
214
-
215
-
216
- &lt;ul&gt;
217
- &lt;li&gt;The &lt;tt&gt;bench.rb&lt;/tt&gt; and &lt;tt&gt;bench.v&lt;/tt&gt; files of a generated test have been eliminated!
218
-
219
- &lt;p&gt;One important benefit is that the Ruby interface to the Verilog module under test is now a direct reference, whereas previously it was an &lt;em&gt;indirect&lt;/em&gt; reference (it pointed to the Verilog module defined in &lt;tt&gt;bench.v&lt;/tt&gt;, which in turn wrapped around the actual Verilog module under test).&lt;/p&gt;
220
-
221
-
222
- &lt;p&gt;As a result, you can now access the internals of the Verilog module under test by simply calling methods on the Ruby interface, as you would naturally expect to be the case.&lt;/p&gt;&lt;/li&gt;
223
- &lt;/ul&gt;
224
-
225
-
226
- &lt;ul&gt;
227
- &lt;li&gt;The &amp;#8220;a&amp;#8221; accessor, which returns an &lt;em&gt;array&lt;/em&gt; of child handles, has been added to &lt;a href=&quot;manual.html#tbl:accessors&quot;&gt;the method naming format&lt;/a&gt; for accessing child handles.&lt;/li&gt;
228
- &lt;/ul&gt;
229
-
230
-
231
- &lt;ul&gt;
232
- &lt;li&gt;All parameter objects in the Verilog module under test are automatically made available as constants. For example, if the Verilog module under test has the name &lt;code class=&quot;code&quot;&gt;counter&lt;/code&gt; and has a parameter object named &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;WIDTH&lt;/span&gt;&lt;/code&gt;, then you can access the integer value of that parameter using &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Counter&lt;/span&gt;::&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;WIDTH&lt;/span&gt;&lt;/code&gt;.&lt;/li&gt;
233
- &lt;/ul&gt;
234
-
235
-
236
- &lt;ul&gt;
237
- &lt;li&gt;The automated test generator now tries to automatically detect the clock and reset signal from Verilog module declarations.&lt;/li&gt;
238
- &lt;/ul&gt;
239
-
240
-
241
- &lt;ul&gt;
242
- &lt;li&gt;The automated test generator now supports Verilog 95 style module declarations.&lt;/li&gt;
243
- &lt;/ul&gt;
244
-
245
-
246
- &lt;ul&gt;
247
- &lt;li&gt;Exceptions are now raised when you try to write to a read-only VPI property, such as &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;VpiName&lt;/span&gt;&lt;/code&gt;.&lt;/li&gt;
248
- &lt;/ul&gt;
249
-
250
-
251
- &lt;ul&gt;
252
- &lt;li&gt;Added &lt;code class=&quot;code&quot;&gt;high?&lt;/code&gt;, &lt;code class=&quot;code&quot;&gt;high!&lt;/code&gt;, &lt;code class=&quot;code&quot;&gt;low?&lt;/code&gt;, and &lt;code class=&quot;code&quot;&gt;low!&lt;/code&gt; methods to the &lt;code class=&quot;code&quot;&gt;&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Vpi&lt;/span&gt;::&lt;span style=&quot;color:#036; font-weight:bold&quot;&gt;Handle&lt;/span&gt;&lt;/code&gt; class.&lt;/li&gt;
253
- &lt;/ul&gt;
254
-
255
-
256
- &lt;ul&gt;
257
- &lt;li&gt;Revised the user manual by removing unnecessary sections and adding newer material from my master&amp;#8217;s thesis.&lt;/li&gt;
258
- &lt;/ul&gt;
259
-
260
-
261
- &lt;h2&gt;Contributions&lt;/h2&gt;
262
-
263
-
264
- &lt;ul&gt;
265
- &lt;li&gt;Added Jacinto Shy II&amp;#8217;s &lt;a href=&quot;http://jacintoshy.blogspot.com/2007/05/hacking-verilog-with-ruby-part-2.html&quot;&gt;register file example&lt;/a&gt; to Ruby-VPI. It can be found in &lt;tt&gt;samp/register_file/&lt;/tt&gt;.&lt;/li&gt;
20
+ &lt;li&gt;Unhandled Ruby exceptions and errors were not being printed to the screen because Ruby-VPI unconditionally gave control back to the Verilog simulator before it exited.&lt;/li&gt;
266
21
  &lt;/ul&gt;</description>
267
- <pubDate>Sun, 22 Jul 2007 00:00:00 PDT</pubDate>
22
+ <pubDate>Fri, 03 Aug 2007 00:00:00 PDT</pubDate>
268
23
  </item>
269
24
  </channel>
270
25
  </rss>
@@ -11,7 +11,7 @@
11
11
  # return control to the simulator before Ruby exits.
12
12
  # otherwise, the simulator will not have a chance to do
13
13
  # any clean up or finish any pending tasks that remain
14
- at_exit {relay_verilog}
14
+ at_exit {relay_verilog unless $!}
15
15
 
16
16
 
17
17
  require 'rubygems'
data/ref/c/annotated.html CHANGED
@@ -27,7 +27,7 @@
27
27
  <tr><td class="indexkey"><a class="el" href="structt__vpi__vecval.html">t_vpi_vecval</a></td><td class="indexvalue"></td></tr>
28
28
  <tr><td class="indexkey"><a class="el" href="structt__vpi__vlog__info.html">t_vpi_vlog_info</a></td><td class="indexvalue"></td></tr>
29
29
  </table>
30
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
30
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
31
31
  <a href="http://www.doxygen.org/index.html">
32
32
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
33
33
  </body>
data/ref/c/common_8h.html CHANGED
@@ -140,7 +140,7 @@ Pass aroung this value instead of zero and non-zero integers. <dl compact><dt><b
140
140
 
141
141
  </div>
142
142
  </div><p>
143
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
143
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
144
144
  <a href="http://www.doxygen.org/index.html">
145
145
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
146
146
  </body>
data/ref/c/files.html CHANGED
@@ -27,7 +27,7 @@
27
27
  <tr><td class="indexkey"><a class="el" href="vlog_8h.html">vlog.h</a></td><td class="indexvalue">Interface between C and Verilog code </td></tr>
28
28
  <tr><td class="indexkey"><a class="el" href="vpi__user_8h.html">vpi_user.h</a></td><td class="indexvalue"></td></tr>
29
29
  </table>
30
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
30
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
31
31
  <a href="http://www.doxygen.org/index.html">
32
32
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
33
33
  </body>
data/ref/c/functions.html CHANGED
@@ -175,7 +175,7 @@ Here is a list of all struct and union fields with links to the structures/union
175
175
  <li>version
176
176
  : <a class="el" href="structt__vpi__vlog__info.html#3ff076199159904aa9869e0fb1476bad">t_vpi_vlog_info</a>
177
177
  </ul>
178
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
178
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
179
179
  <a href="http://www.doxygen.org/index.html">
180
180
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
181
181
  </body>
@@ -175,7 +175,7 @@
175
175
  <li>version
176
176
  : <a class="el" href="structt__vpi__vlog__info.html#3ff076199159904aa9869e0fb1476bad">t_vpi_vlog_info</a>
177
177
  </ul>
178
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
178
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
179
179
  <a href="http://www.doxygen.org/index.html">
180
180
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
181
181
  </body>
data/ref/c/globals.html CHANGED
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>bool
51
51
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b1">common.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -112,7 +112,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
112
112
  <li>common_printf
113
113
  : <a class="el" href="common_8h.html#a2ee406785247606fd3daddbed14cbaf">common.h</a>
114
114
  </ul>
115
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
115
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
116
116
  <a href="http://www.doxygen.org/index.html">
117
117
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
118
118
  </body>
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>EETERN
51
51
  : <a class="el" href="vpi__user_8h.html#17207c0c9000e05cf0c7bcde1c10293d">vpi_user.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>false
51
51
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b1e9de385ef6fe9bf3360d1038396b884c">common.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -57,7 +57,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
57
57
  : <a class="el" href="main_8c.html#5744de41392bc2f3a3285c7203a77229">main.c</a>
58
58
  , <a class="el" href="main_8h.html#5744de41392bc2f3a3285c7203a77229">main.h</a>
59
59
  </ul>
60
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
60
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
61
61
  <a href="http://www.doxygen.org/index.html">
62
62
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
63
63
  </body>
@@ -82,7 +82,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
82
82
  <li>PROTO_PARAMS()
83
83
  : <a class="el" href="vpi__user_8h.html#7db22f87fed5d61de6ce0279828a06a8">vpi_user.h</a>
84
84
  </ul>
85
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
85
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
86
86
  <a href="http://www.doxygen.org/index.html">
87
87
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
88
88
  </body>
@@ -65,7 +65,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
65
65
  : <a class="el" href="relay_8h.html#c1a02ebdb76a321bac689ac9b30f0422">relay.h</a>
66
66
  , <a class="el" href="relay_8c.html#c1a02ebdb76a321bac689ac9b30f0422">relay.c</a>
67
67
  </ul>
68
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
68
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
69
69
  <a href="http://www.doxygen.org/index.html">
70
70
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
71
71
  </body>
@@ -66,7 +66,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
66
66
  <li>s_vpi_vlog_info
67
67
  : <a class="el" href="vpi__user_8h.html#4d989aa964a0d69d3e1da525725573c8">vpi_user.h</a>
68
68
  </ul>
69
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
69
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
70
70
  <a href="http://www.doxygen.org/index.html">
71
71
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
72
72
  </body>
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>true
51
51
  : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b108f175a5505a10b9ed657defeb050e4b">common.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -896,7 +896,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
896
896
  <li>vpiZ
897
897
  : <a class="el" href="vpi__user_8h.html#926969d440ea7547404cbac67367fe5f">vpi_user.h</a>
898
898
  </ul>
899
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
899
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
900
900
  <a href="http://www.doxygen.org/index.html">
901
901
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
902
902
  </body>
@@ -50,7 +50,7 @@ Here is a list of all functions, variables, defines, enums, and typedefs with li
50
50
  <li>XXTERN
51
51
  : <a class="el" href="vpi__user_8h.html#d3973263496fbe347baaf726dac775c5">vpi_user.h</a>
52
52
  </ul>
53
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
53
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
54
54
  <a href="http://www.doxygen.org/index.html">
55
55
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
56
56
  </body>
@@ -106,7 +106,7 @@
106
106
  <li>common_printf
107
107
  : <a class="el" href="common_8h.html#a2ee406785247606fd3daddbed14cbaf">common.h</a>
108
108
  </ul>
109
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
109
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
110
110
  <a href="http://www.doxygen.org/index.html">
111
111
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
112
112
  </body>
@@ -44,7 +44,7 @@
44
44
  <li>EETERN
45
45
  : <a class="el" href="vpi__user_8h.html#17207c0c9000e05cf0c7bcde1c10293d">vpi_user.h</a>
46
46
  </ul>
47
- <hr size="1"><address style="align: right;"><small>Generated on Thu Aug 2 23:17:25 2007 for Ruby-VPI by&nbsp;
47
+ <hr size="1"><address style="align: right;"><small>Generated on Fri Aug 3 23:04:20 2007 for Ruby-VPI by&nbsp;
48
48
  <a href="http://www.doxygen.org/index.html">
49
49
  <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.1 </small></address>
50
50
  </body>