ruby-vpi 12.1.0 → 13.0.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (184) hide show
  1. data/bin/generate_test.rb +4 -5
  2. data/bin/generate_test_tpl/bench.rb +2 -0
  3. data/bin/generate_test_tpl/bench.v +4 -11
  4. data/bin/header_to_ruby.rb +0 -1
  5. data/doc/history.html +281 -206
  6. data/doc/history.rb +1 -1
  7. data/doc/history.yml +66 -35
  8. data/doc/manual.html +3 -3
  9. data/doc/memo.doc +2 -0
  10. data/doc/memo.html +5 -0
  11. data/doc/readme.doc +16 -8
  12. data/doc/readme.html +19 -13
  13. data/doc/rss.xml +7 -0
  14. data/ext/common.h +1 -0
  15. data/ext/{swig.c → main.c} +32 -6
  16. data/ext/{swig.h → main.h} +8 -7
  17. data/ext/relay.c +7 -83
  18. data/ext/relay.h +7 -5
  19. data/ext/swig_vpi.i +10 -3
  20. data/ext/swig_wrap.cin +4 -1
  21. data/ext/verilog.h +26 -10
  22. data/ext/vlog.c +16 -23
  23. data/ext/vlog.h +5 -19
  24. data/lib/ruby-vpi/rcov.rb +3 -3
  25. data/lib/ruby-vpi/runner.rb +5 -2
  26. data/lib/ruby-vpi/vpi.rb +1 -1
  27. data/lib/ruby-vpi.rb +57 -5
  28. data/ref/c/annotated.html +1 -7
  29. data/ref/c/common_8h.html +2 -1
  30. data/ref/c/files.html +3 -5
  31. data/ref/c/functions.html +24 -46
  32. data/ref/c/functions_vars.html +24 -46
  33. data/ref/c/globals.html +5 -210
  34. data/ref/c/globals_0x63.html +32 -48
  35. data/ref/c/globals_0x65.html +3 -9
  36. data/ref/c/globals_0x66.html +3 -19
  37. data/ref/c/globals_0x6d.html +5 -10
  38. data/ref/c/globals_0x70.html +19 -25
  39. data/ref/c/globals_0x72.html +8 -18
  40. data/ref/c/globals_0x73.html +11 -198
  41. data/ref/c/globals_0x74.html +2 -8
  42. data/ref/c/globals_0x76.html +419 -427
  43. data/ref/c/globals_0x78.html +3 -9
  44. data/ref/c/globals_defs.html +30 -35
  45. data/ref/c/globals_defs_0x65.html +2 -7
  46. data/ref/c/globals_defs_0x70.html +3 -8
  47. data/ref/c/globals_defs_0x76.html +416 -420
  48. data/ref/c/globals_defs_0x78.html +2 -7
  49. data/ref/c/globals_enum.html +1 -1
  50. data/ref/c/globals_eval.html +1 -1
  51. data/ref/c/globals_func.html +13 -173
  52. data/ref/c/globals_type.html +26 -29
  53. data/ref/c/globals_vars.html +4 -88
  54. data/ref/c/index.html +1 -1
  55. data/ref/c/{swig_8c.html → main_8c.html} +16 -14
  56. data/ref/c/{swig_8h.html → main_8h.html} +15 -14
  57. data/ref/c/relay_8c.html +25 -38
  58. data/ref/c/relay_8h.html +16 -15
  59. data/ref/c/structt__cb__data.html +6 -23
  60. data/ref/c/structt__vpi__delay.html +3 -20
  61. data/ref/c/structt__vpi__error__info.html +3 -71
  62. data/ref/c/structt__vpi__strengthval.html +3 -3
  63. data/ref/c/structt__vpi__systf__data.html +12 -46
  64. data/ref/c/structt__vpi__time.html +3 -3
  65. data/ref/c/structt__vpi__value.html +3 -113
  66. data/ref/c/structt__vpi__vecval.html +3 -3
  67. data/ref/c/structt__vpi__vlog__info.html +3 -54
  68. data/ref/c/verilog_8h.html +69 -3
  69. data/ref/c/vlog_8c.html +16 -61
  70. data/ref/c/vlog_8h.html +14 -57
  71. data/ref/c/vpi__user_8h.html +16 -16
  72. data/ref/ruby/classes/ERB.html +5 -5
  73. data/ref/ruby/classes/ERB.src/{M000032.html → M000026.html} +0 -0
  74. data/ref/ruby/classes/FileUtils.html +10 -10
  75. data/ref/ruby/classes/FileUtils.src/{M000034.html → M000027.html} +0 -0
  76. data/ref/ruby/classes/FileUtils.src/{M000035.html → M000028.html} +0 -0
  77. data/ref/ruby/classes/Float.html +5 -5
  78. data/ref/ruby/classes/Float.src/{M000027.html → M000022.html} +0 -0
  79. data/ref/ruby/classes/Integer.html +68 -68
  80. data/ref/ruby/classes/Integer.src/M000008.html +25 -0
  81. data/ref/ruby/classes/Integer.src/M000009.html +18 -0
  82. data/ref/ruby/classes/Integer.src/{M000014.html → M000010.html} +0 -0
  83. data/ref/ruby/classes/Integer.src/{M000015.html → M000011.html} +0 -0
  84. data/ref/ruby/classes/Integer.src/M000012.html +5 -12
  85. data/ref/ruby/classes/Integer.src/M000013.html +5 -5
  86. data/ref/ruby/classes/Integer.src/M000016.html +9 -5
  87. data/ref/ruby/classes/Integer.src/M000017.html +9 -5
  88. data/ref/ruby/classes/Integer.src/{M000022.html → M000018.html} +0 -0
  89. data/ref/ruby/classes/Integer.src/{M000023.html → M000019.html} +0 -0
  90. data/ref/ruby/classes/Integer.src/M000020.html +12 -9
  91. data/ref/ruby/classes/Integer.src/M000021.html +17 -9
  92. data/ref/ruby/classes/RDoc.html +5 -5
  93. data/ref/ruby/classes/RDoc.src/{M000050.html → M000041.html} +0 -0
  94. data/ref/ruby/classes/RubyVpi.html +29 -24
  95. data/ref/ruby/classes/RubyVpi.src/M000029.html +142 -0
  96. data/ref/ruby/classes/String.html +15 -15
  97. data/ref/ruby/classes/String.src/{M000029.html → M000023.html} +0 -0
  98. data/ref/ruby/classes/String.src/{M000030.html → M000024.html} +0 -0
  99. data/ref/ruby/classes/String.src/{M000031.html → M000025.html} +0 -0
  100. data/ref/ruby/classes/VerilogParser/Module/Parameter.html +5 -5
  101. data/ref/ruby/classes/VerilogParser/Module/Parameter.src/{M000011.html → M000007.html} +0 -0
  102. data/ref/ruby/classes/VerilogParser/Module/Port.html +20 -20
  103. data/ref/ruby/classes/VerilogParser/Module/Port.src/{M000007.html → M000003.html} +0 -0
  104. data/ref/ruby/classes/VerilogParser/Module/Port.src/{M000008.html → M000004.html} +0 -0
  105. data/ref/ruby/classes/VerilogParser/Module/Port.src/{M000009.html → M000005.html} +0 -0
  106. data/ref/ruby/classes/VerilogParser/Module/Port.src/{M000010.html → M000006.html} +0 -0
  107. data/ref/ruby/classes/VerilogParser/Module.html +5 -5
  108. data/ref/ruby/classes/VerilogParser/Module.src/{M000006.html → M000002.html} +0 -0
  109. data/ref/ruby/classes/VerilogParser.html +5 -5
  110. data/ref/ruby/classes/VerilogParser.src/{M000005.html → M000001.html} +0 -0
  111. data/ref/ruby/classes/Vpi/Handle.html +56 -62
  112. data/ref/ruby/classes/Vpi/Handle.src/M000030.html +18 -0
  113. data/ref/ruby/classes/Vpi/Handle.src/{M000039.html → M000031.html} +0 -0
  114. data/ref/ruby/classes/Vpi/Handle.src/M000032.html +18 -0
  115. data/ref/ruby/classes/Vpi/Handle.src/{M000041.html → M000033.html} +0 -0
  116. data/ref/ruby/classes/Vpi/Handle.src/{M000042.html → M000034.html} +0 -0
  117. data/ref/ruby/classes/Vpi/Handle.src/{M000043.html → M000035.html} +0 -0
  118. data/ref/ruby/classes/Vpi/Handle.src/{M000044.html → M000036.html} +0 -0
  119. data/ref/ruby/classes/Vpi/Handle.src/{M000045.html → M000037.html} +0 -0
  120. data/ref/ruby/classes/Vpi/Handle.src/M000038.html +11 -5
  121. data/ref/ruby/classes/Vpi/Handle.src/M000040.html +55 -5
  122. data/ref/ruby/created.rid +1 -1
  123. data/ref/ruby/files/bin/generate_test_rb.html +1 -49
  124. data/ref/ruby/files/bin/header_to_ruby_rb.html +1 -1
  125. data/ref/ruby/files/lib/ruby-vpi/rcov_rb.html +1 -1
  126. data/ref/ruby/files/lib/ruby-vpi/runner_rb.html +1 -48
  127. data/ref/ruby/files/lib/ruby-vpi/vpi_rb.html +1 -1
  128. data/ref/ruby/files/lib/ruby-vpi_rb.html +1 -1
  129. data/ref/ruby/fr_class_index.html +0 -5
  130. data/ref/ruby/fr_method_index.html +41 -50
  131. data/samp/counter/counter_rspec_bench.rb +2 -0
  132. data/samp/counter/counter_rspec_bench.v +4 -11
  133. data/samp/counter/counter_rspec_design.rb +2 -2
  134. data/samp/counter/counter_xunit_bench.rb +2 -0
  135. data/samp/counter/counter_xunit_bench.v +4 -11
  136. data/samp/counter/counter_xunit_design.rb +2 -2
  137. data/samp/pipelined_alu/hw5_unit_test_bench.rb +2 -0
  138. data/samp/pipelined_alu/hw5_unit_test_bench.v +4 -11
  139. metadata +37 -85
  140. data/ref/c/globals_0x62.html +0 -62
  141. data/ref/c/globals_0x67.html +0 -64
  142. data/ref/c/globals_0x69.html +0 -62
  143. data/ref/c/globals_0x6c.html +0 -64
  144. data/ref/c/globals_0x6e.html +0 -63
  145. data/ref/c/globals_0x75.html +0 -63
  146. data/ref/c/globals_defs_0x6c.html +0 -57
  147. data/ref/c/globals_defs_0x6e.html +0 -56
  148. data/ref/c/globals_defs_0x72.html +0 -57
  149. data/ref/c/globals_defs_0x73.html +0 -164
  150. data/ref/c/globals_defs_0x75.html +0 -56
  151. data/ref/c/globals_func_0x66.html +0 -62
  152. data/ref/c/globals_func_0x67.html +0 -55
  153. data/ref/c/globals_func_0x69.html +0 -53
  154. data/ref/c/globals_func_0x70.html +0 -53
  155. data/ref/c/globals_func_0x72.html +0 -57
  156. data/ref/c/globals_func_0x73.html +0 -114
  157. data/ref/c/globals_func_0x76.html +0 -57
  158. data/ref/c/structrelay____RubyOptions____def.html +0 -73
  159. data/ref/c/structswig__cast__info.html +0 -98
  160. data/ref/c/structswig__class.html +0 -115
  161. data/ref/c/structswig__module__info.html +0 -132
  162. data/ref/c/structswig__type__info.html +0 -132
  163. data/ref/c/swig__vpi_8h.html +0 -8739
  164. data/ref/c/swig__wrap_8cin.html +0 -11556
  165. data/ref/c/unions__vpi__value__value.html +0 -166
  166. data/ref/ruby/classes/Integer.src/M000024.html +0 -25
  167. data/ref/ruby/classes/Integer.src/M000025.html +0 -30
  168. data/ref/ruby/classes/OutputInfo.html +0 -294
  169. data/ref/ruby/classes/OutputInfo.src/M000026.html +0 -50
  170. data/ref/ruby/classes/RubyVpi.src/M000036.html +0 -107
  171. data/ref/ruby/classes/RubyVpi.src/M000037.html +0 -20
  172. data/ref/ruby/classes/Template.html +0 -158
  173. data/ref/ruby/classes/Template.src/M000028.html +0 -18
  174. data/ref/ruby/classes/Vpi/Handle/Property.html +0 -130
  175. data/ref/ruby/classes/Vpi/Handle/Property.src/M000049.html +0 -80
  176. data/ref/ruby/classes/Vpi/Handle.src/M000046.html +0 -24
  177. data/ref/ruby/classes/Vpi/Handle.src/M000048.html +0 -68
  178. data/ref/ruby/classes/XX/XMLish.html +0 -138
  179. data/ref/ruby/classes/XX/XMLish.src/M000033.html +0 -18
  180. data/ref/ruby/classes/XX.html +0 -111
  181. data/ref/ruby/files/bin/generate_test_rb.src/M000001.html +0 -18
  182. data/ref/ruby/files/bin/generate_test_rb.src/M000002.html +0 -38
  183. data/ref/ruby/files/lib/ruby-vpi/runner_rb.src/M000003.html +0 -24
  184. data/ref/ruby/files/lib/ruby-vpi/runner_rb.src/M000004.html +0 -26
@@ -20,56 +20,47 @@
20
20
  <div id="index">
21
21
  <h1 class="section-bar">Methods</h1>
22
22
  <div id="index-entries">
23
- <a href="classes/Vpi/Handle.html#M000045">[] (Vpi::Handle)</a><br />
24
- <a href="classes/FileUtils.html#M000035">collect_args (FileUtils)</a><br />
25
- <a href="classes/Integer.html#M000021">ensure_max (Integer)</a><br />
26
- <a href="classes/Integer.html#M000020">ensure_min (Integer)</a><br />
27
- <a href="files/lib/ruby-vpi/runner_rb.html#M000004">expand_include_dir_options (lib/ruby-vpi/runner.rb)</a><br />
28
- <a href="classes/Integer.html#M000024">extend_sign (Integer)</a><br />
29
- <a href="classes/Vpi/Handle.html#M000043">get_value (Vpi::Handle)</a><br />
30
- <a href="classes/Vpi/Handle.html#M000042">get_value_wrapper (Vpi::Handle)</a><br />
31
- <a href="classes/RubyVpi.html#M000036">init_bench (RubyVpi)</a><br />
32
- <a href="classes/VerilogParser/Module/Port.html#M000008">input? (VerilogParser::Module::Port)</a><br />
33
- <a href="classes/Vpi/Handle.html#M000046">inspect (Vpi::Handle)</a><br />
34
- <a href="classes/Integer.html#M000013">length (Integer)</a><br />
35
- <a href="classes/Integer.html#M000014">limit (Integer)</a><br />
36
- <a href="classes/Integer.html#M000012">log2 (Integer)</a><br />
37
- <a href="classes/Float.html#M000027">mantissa (Float)</a><br />
38
- <a href="classes/Integer.html#M000016">mask (Integer)</a><br />
39
- <a href="classes/Integer.html#M000018">max (Integer)</a><br />
40
- <a href="classes/Vpi/Handle.html#M000048">method_missing (Vpi::Handle)</a><br />
41
- <a href="classes/VerilogParser.html#M000005">new (VerilogParser)</a><br />
42
- <a href="classes/VerilogParser/Module.html#M000006">new (VerilogParser::Module)</a><br />
43
- <a href="classes/VerilogParser/Module/Port.html#M000007">new (VerilogParser::Module::Port)</a><br />
44
- <a href="classes/ERB.html#M000032">new (ERB)</a><br />
45
- <a href="classes/Template.html#M000028">new (Template)</a><br />
46
- <a href="classes/VerilogParser/Module/Parameter.html#M000011">new (VerilogParser::Module::Parameter)</a><br />
47
- <a href="classes/OutputInfo.html#M000026">new (OutputInfo)</a><br />
48
- <a href="files/bin/generate_test_rb.html#M000001">notify (bin/generate_test.rb)</a><br />
49
- <a href="files/lib/ruby-vpi/runner_rb.html#M000003">object_file_path (lib/ruby-vpi/runner.rb)</a><br />
50
- <a href="classes/VerilogParser/Module/Port.html#M000009">output? (VerilogParser::Module::Port)</a><br />
51
- <a href="classes/Integer.html#M000022">pack (Integer)</a><br />
52
- <a href="classes/Vpi/Handle.html#M000044">put_value (Vpi::Handle)</a><br />
53
- <a href="classes/VerilogParser/Module/Port.html#M000010">reg? (VerilogParser::Module::Port)</a><br />
54
- <a href="classes/Vpi/Handle/Property.html#M000049">resolve (Vpi::Handle::Property)</a><br />
55
- <a href="classes/FileUtils.html#M000034">sh (FileUtils)</a><br />
56
- <a href="classes/Integer.html#M000025">split (Integer)</a><br />
57
- <a href="classes/String.html#M000029">to_f (String)</a><br />
58
- <a href="classes/Integer.html#M000015">to_limit (Integer)</a><br />
59
- <a href="classes/Integer.html#M000017">to_mask (Integer)</a><br />
60
- <a href="classes/Integer.html#M000019">to_max (Integer)</a><br />
61
- <a href="classes/String.html#M000031">to_ruby_const_name (String)</a><br />
62
- <a href="classes/Vpi/Handle.html#M000047">to_s (Vpi::Handle)</a><br />
63
- <a href="classes/Integer.html#M000023">unpack (Integer)</a><br />
64
- <a href="classes/RDoc.html#M000050">usage_from_file (RDoc)</a><br />
65
- <a href="classes/String.html#M000030">verilog_to_ruby (String)</a><br />
66
- <a href="classes/RubyVpi.html#M000037">with_coverage_analysis (RubyVpi)</a><br />
67
- <a href="files/bin/generate_test_rb.html#M000002">write_file (bin/generate_test.rb)</a><br />
68
- <a href="classes/Vpi/Handle.html#M000039">x! (Vpi::Handle)</a><br />
69
- <a href="classes/Vpi/Handle.html#M000038">x? (Vpi::Handle)</a><br />
70
- <a href="classes/XX/XMLish.html#M000033">xmlish_ (XX::XMLish)</a><br />
71
- <a href="classes/Vpi/Handle.html#M000041">z! (Vpi::Handle)</a><br />
72
- <a href="classes/Vpi/Handle.html#M000040">z? (Vpi::Handle)</a><br />
23
+ <a href="classes/Vpi/Handle.html#M000037">[] (Vpi::Handle)</a><br />
24
+ <a href="classes/FileUtils.html#M000028">collect_args (FileUtils)</a><br />
25
+ <a href="classes/Integer.html#M000017">ensure_max (Integer)</a><br />
26
+ <a href="classes/Integer.html#M000016">ensure_min (Integer)</a><br />
27
+ <a href="classes/Integer.html#M000020">extend_sign (Integer)</a><br />
28
+ <a href="classes/Vpi/Handle.html#M000035">get_value (Vpi::Handle)</a><br />
29
+ <a href="classes/Vpi/Handle.html#M000034">get_value_wrapper (Vpi::Handle)</a><br />
30
+ <a href="classes/RubyVpi.html#M000029">init_bench (RubyVpi)</a><br />
31
+ <a href="classes/VerilogParser/Module/Port.html#M000004">input? (VerilogParser::Module::Port)</a><br />
32
+ <a href="classes/Vpi/Handle.html#M000038">inspect (Vpi::Handle)</a><br />
33
+ <a href="classes/Integer.html#M000009">length (Integer)</a><br />
34
+ <a href="classes/Integer.html#M000010">limit (Integer)</a><br />
35
+ <a href="classes/Integer.html#M000008">log2 (Integer)</a><br />
36
+ <a href="classes/Float.html#M000022">mantissa (Float)</a><br />
37
+ <a href="classes/Integer.html#M000012">mask (Integer)</a><br />
38
+ <a href="classes/Integer.html#M000014">max (Integer)</a><br />
39
+ <a href="classes/Vpi/Handle.html#M000040">method_missing (Vpi::Handle)</a><br />
40
+ <a href="classes/VerilogParser.html#M000001">new (VerilogParser)</a><br />
41
+ <a href="classes/VerilogParser/Module/Port.html#M000003">new (VerilogParser::Module::Port)</a><br />
42
+ <a href="classes/VerilogParser/Module.html#M000002">new (VerilogParser::Module)</a><br />
43
+ <a href="classes/VerilogParser/Module/Parameter.html#M000007">new (VerilogParser::Module::Parameter)</a><br />
44
+ <a href="classes/ERB.html#M000026">new (ERB)</a><br />
45
+ <a href="classes/VerilogParser/Module/Port.html#M000005">output? (VerilogParser::Module::Port)</a><br />
46
+ <a href="classes/Integer.html#M000018">pack (Integer)</a><br />
47
+ <a href="classes/Vpi/Handle.html#M000036">put_value (Vpi::Handle)</a><br />
48
+ <a href="classes/VerilogParser/Module/Port.html#M000006">reg? (VerilogParser::Module::Port)</a><br />
49
+ <a href="classes/FileUtils.html#M000027">sh (FileUtils)</a><br />
50
+ <a href="classes/Integer.html#M000021">split (Integer)</a><br />
51
+ <a href="classes/String.html#M000023">to_f (String)</a><br />
52
+ <a href="classes/Integer.html#M000011">to_limit (Integer)</a><br />
53
+ <a href="classes/Integer.html#M000013">to_mask (Integer)</a><br />
54
+ <a href="classes/Integer.html#M000015">to_max (Integer)</a><br />
55
+ <a href="classes/String.html#M000025">to_ruby_const_name (String)</a><br />
56
+ <a href="classes/Vpi/Handle.html#M000039">to_s (Vpi::Handle)</a><br />
57
+ <a href="classes/Integer.html#M000019">unpack (Integer)</a><br />
58
+ <a href="classes/RDoc.html#M000041">usage_from_file (RDoc)</a><br />
59
+ <a href="classes/String.html#M000024">verilog_to_ruby (String)</a><br />
60
+ <a href="classes/Vpi/Handle.html#M000031">x! (Vpi::Handle)</a><br />
61
+ <a href="classes/Vpi/Handle.html#M000030">x? (Vpi::Handle)</a><br />
62
+ <a href="classes/Vpi/Handle.html#M000033">z! (Vpi::Handle)</a><br />
63
+ <a href="classes/Vpi/Handle.html#M000032">z? (Vpi::Handle)</a><br />
73
64
  </div>
74
65
  </div>
75
66
  </body>
@@ -1,4 +1,6 @@
1
1
  # This file is the Ruby side of the bench.
2
2
 
3
+ require 'rubygems'
3
4
  require 'ruby-vpi'
5
+
4
6
  RubyVpi.init_bench :Counter, :rSpec
@@ -1,4 +1,4 @@
1
- /* This file is the Verilog side of the bench. */
1
+ // This file is the Verilog side of the bench.
2
2
  module counter_rspec_bench;
3
3
 
4
4
  // instantiate the design under test
@@ -9,15 +9,8 @@ module counter_rspec_bench;
9
9
 
10
10
  counter #(.Size(Size)) counter_rspec_bench_design(.clock(clock), .reset(reset), .count(count));
11
11
 
12
- // connect to the Ruby side of this bench
13
- initial begin
14
- $ruby_init("ruby", "-rubygems", "counter_rspec_bench.rb");
15
- end
16
-
17
- always begin
18
- #1 clock = 0;
19
- #1 $ruby_relay;
20
- #1 clock = 1;
21
- end
12
+ // generate clock for the design under test
13
+ initial clock = 0;
14
+ always #5 clock = !clock;
22
15
 
23
16
  endmodule
@@ -2,8 +2,8 @@
2
2
 
3
3
  # This method resets the design under test.
4
4
  def Counter.reset!
5
- # assert the reset signal for five clock cycles
5
+ # assert the reset signal for two clock cycles
6
6
  reset.intVal = 1
7
- 5.times {relay_verilog}
7
+ 2.times {relay_verilog}
8
8
  reset.intVal = 0
9
9
  end
@@ -1,4 +1,6 @@
1
1
  # This file is the Ruby side of the bench.
2
2
 
3
+ require 'rubygems'
3
4
  require 'ruby-vpi'
5
+
4
6
  RubyVpi.init_bench :Counter, :xUnit
@@ -1,4 +1,4 @@
1
- /* This file is the Verilog side of the bench. */
1
+ // This file is the Verilog side of the bench.
2
2
  module counter_xunit_bench;
3
3
 
4
4
  // instantiate the design under test
@@ -9,15 +9,8 @@ module counter_xunit_bench;
9
9
 
10
10
  counter #(.Size(Size)) counter_xunit_bench_design(.clock(clock), .reset(reset), .count(count));
11
11
 
12
- // connect to the Ruby side of this bench
13
- initial begin
14
- $ruby_init("ruby", "-rubygems", "counter_xunit_bench.rb");
15
- end
16
-
17
- always begin
18
- #1 clock = 0;
19
- #1 $ruby_relay;
20
- #1 clock = 1;
21
- end
12
+ // generate clock for the design under test
13
+ initial clock = 0;
14
+ always #5 clock = !clock;
22
15
 
23
16
  endmodule
@@ -2,8 +2,8 @@
2
2
 
3
3
  # This method resets the design under test.
4
4
  def Counter.reset!
5
- # assert the reset signal for five clock cycles
5
+ # assert the reset signal for two clock cycles
6
6
  reset.intVal = 1
7
- 5.times {relay_verilog}
7
+ 2.times {relay_verilog}
8
8
  reset.intVal = 0
9
9
  end
@@ -1,4 +1,6 @@
1
1
  # This file is the Ruby side of the bench.
2
2
 
3
+ require 'rubygems'
3
4
  require 'ruby-vpi'
5
+
4
6
  RubyVpi.init_bench :Hw5_unit, :xUnit
@@ -1,4 +1,4 @@
1
- /* This file is the Verilog side of the bench. */
1
+ // This file is the Verilog side of the bench.
2
2
  module hw5_unit_test_bench;
3
3
 
4
4
  // instantiate the design under test
@@ -14,15 +14,8 @@ module hw5_unit_test_bench;
14
14
 
15
15
  hw5_unit hw5_unit_test_bench_design(.clk(clk), .reset(reset), .in_databits(in_databits), .a(a), .b(b), .in_op(in_op), .res(res), .out_databits(out_databits), .out_op(out_op));
16
16
 
17
- // connect to the Ruby side of this bench
18
- initial begin
19
- $ruby_init("ruby", "-rubygems", "hw5_unit_test_bench.rb");
20
- end
21
-
22
- always begin
23
- #1 clk = 0;
24
- #1 $ruby_relay;
25
- #1 clk = 1;
26
- end
17
+ // generate clock for the design under test
18
+ initial clk = 0;
19
+ always #5 clk = !clk;
27
20
 
28
21
  endmodule
metadata CHANGED
@@ -3,8 +3,8 @@ rubygems_version: 0.9.0
3
3
  specification_version: 1
4
4
  name: ruby-vpi
5
5
  version: !ruby/object:Gem::Version
6
- version: 12.1.0
7
- date: 2006-12-22 00:00:00 -08:00
6
+ version: 13.0.0
7
+ date: 2006-12-27 00:00:00 -08:00
8
8
  summary: Ruby interface to IEEE 1364-2005 Verilog VPI
9
9
  require_paths:
10
10
  - lib
@@ -43,11 +43,11 @@ files:
43
43
  - ext/swig_vpi.i
44
44
  - ext/vlog.c
45
45
  - ext/Rakefile
46
- - ext/swig.h
46
+ - ext/main.h
47
47
  - ext/common.h
48
48
  - ext/extconf.rb
49
49
  - ext/relay.h
50
- - ext/swig.c
50
+ - ext/main.c
51
51
  - ext/verilog.h
52
52
  - ext/vlog.h
53
53
  - ext/relay.c
@@ -165,12 +165,10 @@ files:
165
165
  - ref/c/doxygen.css
166
166
  - ref/c/index.html
167
167
  - ref/c/common_8h.html
168
+ - ref/c/main_8c.html
169
+ - ref/c/main_8h.html
168
170
  - ref/c/relay_8c.html
169
171
  - ref/c/relay_8h.html
170
- - ref/c/swig_8c.html
171
- - ref/c/swig_8h.html
172
- - ref/c/swig__vpi_8h.html
173
- - ref/c/swig__wrap_8cin.html
174
172
  - ref/c/verilog_8h.html
175
173
  - ref/c/vlog_8c.html
176
174
  - ref/c/vlog_8h.html
@@ -178,12 +176,6 @@ files:
178
176
  - ref/c/annotated.html
179
177
  - ref/c/functions.html
180
178
  - ref/c/functions_vars.html
181
- - ref/c/structrelay____RubyOptions____def.html
182
- - ref/c/unions__vpi__value__value.html
183
- - ref/c/structswig__cast__info.html
184
- - ref/c/structswig__class.html
185
- - ref/c/structswig__module__info.html
186
- - ref/c/structswig__type__info.html
187
179
  - ref/c/structt__cb__data.html
188
180
  - ref/c/structt__vpi__delay.html
189
181
  - ref/c/structt__vpi__error__info.html
@@ -195,42 +187,24 @@ files:
195
187
  - ref/c/structt__vpi__vlog__info.html
196
188
  - ref/c/files.html
197
189
  - ref/c/globals.html
198
- - ref/c/globals_0x62.html
199
190
  - ref/c/globals_0x63.html
200
191
  - ref/c/globals_0x65.html
201
192
  - ref/c/globals_0x66.html
202
- - ref/c/globals_0x67.html
203
- - ref/c/globals_0x69.html
204
- - ref/c/globals_0x6c.html
205
193
  - ref/c/globals_0x6d.html
206
- - ref/c/globals_0x6e.html
207
194
  - ref/c/globals_0x70.html
208
195
  - ref/c/globals_0x72.html
209
196
  - ref/c/globals_0x73.html
210
197
  - ref/c/globals_0x74.html
211
- - ref/c/globals_0x75.html
212
198
  - ref/c/globals_0x76.html
213
199
  - ref/c/globals_0x78.html
214
200
  - ref/c/globals_func.html
215
- - ref/c/globals_func_0x66.html
216
- - ref/c/globals_func_0x67.html
217
- - ref/c/globals_func_0x69.html
218
- - ref/c/globals_func_0x70.html
219
- - ref/c/globals_func_0x72.html
220
- - ref/c/globals_func_0x73.html
221
- - ref/c/globals_func_0x76.html
222
201
  - ref/c/globals_vars.html
223
202
  - ref/c/globals_type.html
224
203
  - ref/c/globals_enum.html
225
204
  - ref/c/globals_eval.html
226
205
  - ref/c/globals_defs.html
227
206
  - ref/c/globals_defs_0x65.html
228
- - ref/c/globals_defs_0x6c.html
229
- - ref/c/globals_defs_0x6e.html
230
207
  - ref/c/globals_defs_0x70.html
231
- - ref/c/globals_defs_0x72.html
232
- - ref/c/globals_defs_0x73.html
233
- - ref/c/globals_defs_0x75.html
234
208
  - ref/c/globals_defs_0x76.html
235
209
  - ref/c/globals_defs_0x78.html
236
210
  - ref/ruby/created.rid
@@ -243,14 +217,10 @@ files:
243
217
  - ref/ruby/index.html
244
218
  - ref/ruby/files/bin
245
219
  - ref/ruby/files/lib
246
- - ref/ruby/files/bin/generate_test_rb.src
247
220
  - ref/ruby/files/bin/generate_test_rb.html
248
221
  - ref/ruby/files/bin/header_to_ruby_rb.html
249
- - ref/ruby/files/bin/generate_test_rb.src/M000001.html
250
- - ref/ruby/files/bin/generate_test_rb.src/M000002.html
251
- - ref/ruby/files/lib/ruby-vpi
252
222
  - ref/ruby/files/lib/ruby-vpi_rb.html
253
- - ref/ruby/files/lib/ruby-vpi/runner_rb.src
223
+ - ref/ruby/files/lib/ruby-vpi
254
224
  - ref/ruby/files/lib/ruby-vpi/erb_rb.html
255
225
  - ref/ruby/files/lib/ruby-vpi/rake_rb.html
256
226
  - ref/ruby/files/lib/ruby-vpi/rcov_rb.html
@@ -261,91 +231,73 @@ files:
261
231
  - ref/ruby/files/lib/ruby-vpi/float_rb.html
262
232
  - ref/ruby/files/lib/ruby-vpi/vpi_rb.html
263
233
  - ref/ruby/files/lib/ruby-vpi/verilog_parser_rb.html
264
- - ref/ruby/files/lib/ruby-vpi/runner_rb.src/M000003.html
265
- - ref/ruby/files/lib/ruby-vpi/runner_rb.src/M000004.html
266
234
  - ref/ruby/classes/VerilogParser.src
267
235
  - ref/ruby/classes/VerilogParser
268
236
  - ref/ruby/classes/Integer.src
269
- - ref/ruby/classes/OutputInfo.src
270
237
  - ref/ruby/classes/Float.src
271
- - ref/ruby/classes/Template.src
272
238
  - ref/ruby/classes/String.src
273
239
  - ref/ruby/classes/ERB.src
274
- - ref/ruby/classes/XX
275
240
  - ref/ruby/classes/FileUtils.src
276
241
  - ref/ruby/classes/RubyVpi.src
277
242
  - ref/ruby/classes/Vpi
278
243
  - ref/ruby/classes/RDoc.src
279
244
  - ref/ruby/classes/VerilogParser.html
280
245
  - ref/ruby/classes/Integer.html
281
- - ref/ruby/classes/OutputInfo.html
282
246
  - ref/ruby/classes/Float.html
283
- - ref/ruby/classes/Template.html
284
247
  - ref/ruby/classes/String.html
285
248
  - ref/ruby/classes/ERB.html
286
- - ref/ruby/classes/XX.html
287
249
  - ref/ruby/classes/FileUtils.html
288
250
  - ref/ruby/classes/RubyVpi.html
289
251
  - ref/ruby/classes/RubyVpi
290
252
  - ref/ruby/classes/Vpi.html
291
253
  - ref/ruby/classes/RDoc.html
292
- - ref/ruby/classes/VerilogParser.src/M000005.html
254
+ - ref/ruby/classes/VerilogParser.src/M000001.html
293
255
  - ref/ruby/classes/VerilogParser/Module.src
294
256
  - ref/ruby/classes/VerilogParser/Module
295
257
  - ref/ruby/classes/VerilogParser/Module.html
296
- - ref/ruby/classes/VerilogParser/Module.src/M000006.html
258
+ - ref/ruby/classes/VerilogParser/Module.src/M000002.html
297
259
  - ref/ruby/classes/VerilogParser/Module/Port.src
298
260
  - ref/ruby/classes/VerilogParser/Module/Parameter.src
299
261
  - ref/ruby/classes/VerilogParser/Module/Port.html
300
262
  - ref/ruby/classes/VerilogParser/Module/Parameter.html
301
- - ref/ruby/classes/VerilogParser/Module/Port.src/M000007.html
302
- - ref/ruby/classes/VerilogParser/Module/Port.src/M000008.html
303
- - ref/ruby/classes/VerilogParser/Module/Port.src/M000009.html
304
- - ref/ruby/classes/VerilogParser/Module/Port.src/M000010.html
305
- - ref/ruby/classes/VerilogParser/Module/Parameter.src/M000011.html
263
+ - ref/ruby/classes/VerilogParser/Module/Port.src/M000003.html
264
+ - ref/ruby/classes/VerilogParser/Module/Port.src/M000004.html
265
+ - ref/ruby/classes/VerilogParser/Module/Port.src/M000005.html
266
+ - ref/ruby/classes/VerilogParser/Module/Port.src/M000006.html
267
+ - ref/ruby/classes/VerilogParser/Module/Parameter.src/M000007.html
268
+ - ref/ruby/classes/Integer.src/M000008.html
269
+ - ref/ruby/classes/Integer.src/M000009.html
270
+ - ref/ruby/classes/Integer.src/M000010.html
271
+ - ref/ruby/classes/Integer.src/M000011.html
306
272
  - ref/ruby/classes/Integer.src/M000012.html
307
273
  - ref/ruby/classes/Integer.src/M000013.html
308
- - ref/ruby/classes/Integer.src/M000014.html
309
- - ref/ruby/classes/Integer.src/M000015.html
310
274
  - ref/ruby/classes/Integer.src/M000016.html
311
275
  - ref/ruby/classes/Integer.src/M000017.html
276
+ - ref/ruby/classes/Integer.src/M000018.html
277
+ - ref/ruby/classes/Integer.src/M000019.html
312
278
  - ref/ruby/classes/Integer.src/M000020.html
313
279
  - ref/ruby/classes/Integer.src/M000021.html
314
- - ref/ruby/classes/Integer.src/M000022.html
315
- - ref/ruby/classes/Integer.src/M000023.html
316
- - ref/ruby/classes/Integer.src/M000024.html
317
- - ref/ruby/classes/Integer.src/M000025.html
318
- - ref/ruby/classes/OutputInfo.src/M000026.html
319
- - ref/ruby/classes/Float.src/M000027.html
320
- - ref/ruby/classes/Template.src/M000028.html
321
- - ref/ruby/classes/String.src/M000029.html
322
- - ref/ruby/classes/String.src/M000030.html
323
- - ref/ruby/classes/String.src/M000031.html
324
- - ref/ruby/classes/ERB.src/M000032.html
325
- - ref/ruby/classes/XX/XMLish.src
326
- - ref/ruby/classes/XX/XMLish.html
327
- - ref/ruby/classes/XX/XMLish.src/M000033.html
328
- - ref/ruby/classes/FileUtils.src/M000034.html
329
- - ref/ruby/classes/FileUtils.src/M000035.html
330
- - ref/ruby/classes/RubyVpi.src/M000036.html
331
- - ref/ruby/classes/RubyVpi.src/M000037.html
280
+ - ref/ruby/classes/Float.src/M000022.html
281
+ - ref/ruby/classes/String.src/M000023.html
282
+ - ref/ruby/classes/String.src/M000024.html
283
+ - ref/ruby/classes/String.src/M000025.html
284
+ - ref/ruby/classes/ERB.src/M000026.html
285
+ - ref/ruby/classes/FileUtils.src/M000027.html
286
+ - ref/ruby/classes/FileUtils.src/M000028.html
287
+ - ref/ruby/classes/RubyVpi.src/M000029.html
332
288
  - ref/ruby/classes/Vpi/Handle.src
333
- - ref/ruby/classes/Vpi/Handle
334
289
  - ref/ruby/classes/Vpi/Handle.html
290
+ - ref/ruby/classes/Vpi/Handle.src/M000030.html
291
+ - ref/ruby/classes/Vpi/Handle.src/M000031.html
292
+ - ref/ruby/classes/Vpi/Handle.src/M000032.html
293
+ - ref/ruby/classes/Vpi/Handle.src/M000033.html
294
+ - ref/ruby/classes/Vpi/Handle.src/M000034.html
295
+ - ref/ruby/classes/Vpi/Handle.src/M000035.html
296
+ - ref/ruby/classes/Vpi/Handle.src/M000036.html
297
+ - ref/ruby/classes/Vpi/Handle.src/M000037.html
335
298
  - ref/ruby/classes/Vpi/Handle.src/M000038.html
336
- - ref/ruby/classes/Vpi/Handle.src/M000039.html
337
299
  - ref/ruby/classes/Vpi/Handle.src/M000040.html
338
- - ref/ruby/classes/Vpi/Handle.src/M000041.html
339
- - ref/ruby/classes/Vpi/Handle.src/M000042.html
340
- - ref/ruby/classes/Vpi/Handle.src/M000043.html
341
- - ref/ruby/classes/Vpi/Handle.src/M000044.html
342
- - ref/ruby/classes/Vpi/Handle.src/M000045.html
343
- - ref/ruby/classes/Vpi/Handle.src/M000046.html
344
- - ref/ruby/classes/Vpi/Handle.src/M000048.html
345
- - ref/ruby/classes/Vpi/Handle/Property.src
346
- - ref/ruby/classes/Vpi/Handle/Property.html
347
- - ref/ruby/classes/Vpi/Handle/Property.src/M000049.html
348
- - ref/ruby/classes/RDoc.src/M000050.html
300
+ - ref/ruby/classes/RDoc.src/M000041.html
349
301
  - ref/ruby/classes/RubyVpi/Config.html
350
302
  test_files: []
351
303
 
@@ -1,62 +0,0 @@
1
- <!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
2
- <html><head><meta http-equiv="Content-Type" content="text/html;charset=iso-8859-1">
3
- <title>Ruby-VPI: Data Fields</title>
4
- <link href="doxygen.css" rel="stylesheet" type="text/css">
5
- <link href="tabs.css" rel="stylesheet" type="text/css">
6
- </head><body>
7
- <!-- Generated by Doxygen 1.4.7 -->
8
- <div class="tabs">
9
- <ul>
10
- <li><a href="index.html"><span>Main&nbsp;Page</span></a></li>
11
- <li><a href="annotated.html"><span>Data&nbsp;Structures</span></a></li>
12
- <li id="current"><a href="files.html"><span>Files</span></a></li>
13
- </ul></div>
14
- <div class="tabs">
15
- <ul>
16
- <li><a href="files.html"><span>File&nbsp;List</span></a></li>
17
- <li id="current"><a href="globals.html"><span>Globals</span></a></li>
18
- </ul></div>
19
- <div class="tabs">
20
- <ul>
21
- <li id="current"><a href="globals.html"><span>All</span></a></li>
22
- <li><a href="globals_func.html"><span>Functions</span></a></li>
23
- <li><a href="globals_vars.html"><span>Variables</span></a></li>
24
- <li><a href="globals_type.html"><span>Typedefs</span></a></li>
25
- <li><a href="globals_enum.html"><span>Enumerations</span></a></li>
26
- <li><a href="globals_eval.html"><span>Enumerator</span></a></li>
27
- <li><a href="globals_defs.html"><span>Defines</span></a></li>
28
- </ul>
29
- </div>
30
- <div class="tabs">
31
- <ul>
32
- <li><a href="globals.html#index__"><span>_</span></a></li>
33
- <li id="current"><a href="globals_0x62.html#index_b"><span>b</span></a></li>
34
- <li><a href="globals_0x63.html#index_c"><span>c</span></a></li>
35
- <li><a href="globals_0x65.html#index_e"><span>e</span></a></li>
36
- <li><a href="globals_0x66.html#index_f"><span>f</span></a></li>
37
- <li><a href="globals_0x67.html#index_g"><span>g</span></a></li>
38
- <li><a href="globals_0x69.html#index_i"><span>i</span></a></li>
39
- <li><a href="globals_0x6c.html#index_l"><span>l</span></a></li>
40
- <li><a href="globals_0x6d.html#index_m"><span>m</span></a></li>
41
- <li><a href="globals_0x6e.html#index_n"><span>n</span></a></li>
42
- <li><a href="globals_0x70.html#index_p"><span>p</span></a></li>
43
- <li><a href="globals_0x72.html#index_r"><span>r</span></a></li>
44
- <li><a href="globals_0x73.html#index_s"><span>s</span></a></li>
45
- <li><a href="globals_0x74.html#index_t"><span>t</span></a></li>
46
- <li><a href="globals_0x75.html#index_u"><span>u</span></a></li>
47
- <li><a href="globals_0x76.html#index_v"><span>v</span></a></li>
48
- <li><a href="globals_0x78.html#index_x"><span>x</span></a></li>
49
- </ul>
50
- </div>
51
-
52
- <p>
53
- Here is a list of all functions, variables, defines, enums, and typedefs with links to the files they belong to:
54
- <p>
55
- <h3><a class="anchor" name="index_b">- b -</a></h3><ul>
56
- <li>bool
57
- : <a class="el" href="common_8h.html#f6a258d8f3ee5206d682d799316314b1">common.h</a></ul>
58
- <hr size="1"><address style="align: right;"><small>Generated on Fri Dec 22 21:43:02 2006 for Ruby-VPI by&nbsp;
59
- <a href="http://www.doxygen.org/index.html">
60
- <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.7 </small></address>
61
- </body>
62
- </html>
@@ -1,64 +0,0 @@
1
- <!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
2
- <html><head><meta http-equiv="Content-Type" content="text/html;charset=iso-8859-1">
3
- <title>Ruby-VPI: Data Fields</title>
4
- <link href="doxygen.css" rel="stylesheet" type="text/css">
5
- <link href="tabs.css" rel="stylesheet" type="text/css">
6
- </head><body>
7
- <!-- Generated by Doxygen 1.4.7 -->
8
- <div class="tabs">
9
- <ul>
10
- <li><a href="index.html"><span>Main&nbsp;Page</span></a></li>
11
- <li><a href="annotated.html"><span>Data&nbsp;Structures</span></a></li>
12
- <li id="current"><a href="files.html"><span>Files</span></a></li>
13
- </ul></div>
14
- <div class="tabs">
15
- <ul>
16
- <li><a href="files.html"><span>File&nbsp;List</span></a></li>
17
- <li id="current"><a href="globals.html"><span>Globals</span></a></li>
18
- </ul></div>
19
- <div class="tabs">
20
- <ul>
21
- <li id="current"><a href="globals.html"><span>All</span></a></li>
22
- <li><a href="globals_func.html"><span>Functions</span></a></li>
23
- <li><a href="globals_vars.html"><span>Variables</span></a></li>
24
- <li><a href="globals_type.html"><span>Typedefs</span></a></li>
25
- <li><a href="globals_enum.html"><span>Enumerations</span></a></li>
26
- <li><a href="globals_eval.html"><span>Enumerator</span></a></li>
27
- <li><a href="globals_defs.html"><span>Defines</span></a></li>
28
- </ul>
29
- </div>
30
- <div class="tabs">
31
- <ul>
32
- <li><a href="globals.html#index__"><span>_</span></a></li>
33
- <li><a href="globals_0x62.html#index_b"><span>b</span></a></li>
34
- <li><a href="globals_0x63.html#index_c"><span>c</span></a></li>
35
- <li><a href="globals_0x65.html#index_e"><span>e</span></a></li>
36
- <li><a href="globals_0x66.html#index_f"><span>f</span></a></li>
37
- <li id="current"><a href="globals_0x67.html#index_g"><span>g</span></a></li>
38
- <li><a href="globals_0x69.html#index_i"><span>i</span></a></li>
39
- <li><a href="globals_0x6c.html#index_l"><span>l</span></a></li>
40
- <li><a href="globals_0x6d.html#index_m"><span>m</span></a></li>
41
- <li><a href="globals_0x6e.html#index_n"><span>n</span></a></li>
42
- <li><a href="globals_0x70.html#index_p"><span>p</span></a></li>
43
- <li><a href="globals_0x72.html#index_r"><span>r</span></a></li>
44
- <li><a href="globals_0x73.html#index_s"><span>s</span></a></li>
45
- <li><a href="globals_0x74.html#index_t"><span>t</span></a></li>
46
- <li><a href="globals_0x75.html#index_u"><span>u</span></a></li>
47
- <li><a href="globals_0x76.html#index_v"><span>v</span></a></li>
48
- <li><a href="globals_0x78.html#index_x"><span>x</span></a></li>
49
- </ul>
50
- </div>
51
-
52
- <p>
53
- Here is a list of all functions, variables, defines, enums, and typedefs with links to the files they belong to:
54
- <p>
55
- <h3><a class="anchor" name="index_g">- g -</a></h3><ul>
56
- <li>getExceptionClass()
57
- : <a class="el" href="swig__wrap_8cin.html#056814e653693e6332c85b2910493dc0">swig_wrap.cin</a><li>getNullReferenceError()
58
- : <a class="el" href="swig__wrap_8cin.html#8735d1b2e391b3539862f57668b8ae84">swig_wrap.cin</a><li>getObjectPreviouslyDeletedError()
59
- : <a class="el" href="swig__wrap_8cin.html#02ffac2b9e2f0fc30c7c283d3aab33be">swig_wrap.cin</a></ul>
60
- <hr size="1"><address style="align: right;"><small>Generated on Fri Dec 22 21:43:02 2006 for Ruby-VPI by&nbsp;
61
- <a href="http://www.doxygen.org/index.html">
62
- <img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.4.7 </small></address>
63
- </body>
64
- </html>