rggen-systemverilog 0.19.0 → 0.23.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (64) hide show
  1. checksums.yaml +4 -4
  2. data/lib/rggen/systemverilog/common.rb +2 -2
  3. data/lib/rggen/systemverilog/common/component.rb +2 -6
  4. data/lib/rggen/systemverilog/common/feature.rb +39 -26
  5. data/lib/rggen/systemverilog/common/utility.rb +4 -0
  6. data/lib/rggen/systemverilog/common/utility/interface_port.rb +7 -3
  7. data/lib/rggen/systemverilog/common/utility/local_scope.rb +2 -2
  8. data/lib/rggen/systemverilog/common/utility/structure_definition.rb +1 -3
  9. data/lib/rggen/systemverilog/ral.rb +6 -1
  10. data/lib/rggen/systemverilog/ral/bit_field/type.rb +15 -10
  11. data/lib/rggen/systemverilog/ral/bit_field/type/rwe_rwl.rb +1 -11
  12. data/lib/rggen/systemverilog/ral/feature.rb +4 -4
  13. data/lib/rggen/systemverilog/ral/register/type.rb +10 -52
  14. data/lib/rggen/systemverilog/ral/register/type/default.erb +1 -1
  15. data/lib/rggen/systemverilog/ral/register/type/external.rb +6 -10
  16. data/lib/rggen/systemverilog/ral/register/type/indirect.erb +3 -3
  17. data/lib/rggen/systemverilog/ral/register/type/indirect.rb +5 -2
  18. data/lib/rggen/systemverilog/ral/register_block/sv_ral_model.erb +8 -0
  19. data/lib/rggen/systemverilog/ral/register_block/sv_ral_model.rb +36 -0
  20. data/lib/rggen/systemverilog/ral/register_block/sv_ral_package.rb +1 -32
  21. data/lib/rggen/systemverilog/ral/register_common.rb +46 -0
  22. data/lib/rggen/systemverilog/ral/register_file/sv_ral_model.erb +8 -0
  23. data/lib/rggen/systemverilog/ral/register_file/sv_ral_model.rb +57 -0
  24. data/lib/rggen/systemverilog/ral/setup.rb +3 -2
  25. data/lib/rggen/systemverilog/rtl.rb +12 -5
  26. data/lib/rggen/systemverilog/rtl/bit_field/sv_rtl_top.rb +34 -19
  27. data/lib/rggen/systemverilog/rtl/bit_field/type.rb +1 -5
  28. data/lib/rggen/systemverilog/rtl/bit_field/type/{rc_w0c_w1c.erb → rc_w0c_w1c_wc_woc.erb} +2 -1
  29. data/lib/rggen/systemverilog/rtl/bit_field/type/{rc_w0c_w1c.rb → rc_w0c_w1c_wc_woc.rb} +11 -10
  30. data/lib/rggen/systemverilog/rtl/bit_field/type/ro.rb +1 -1
  31. data/lib/rggen/systemverilog/rtl/bit_field/type/{rs_w0s_w1s.erb → rs_w0s_w1s_ws_wos.erb} +2 -1
  32. data/lib/rggen/systemverilog/rtl/bit_field/type/{rs_w0s_w1s.rb → rs_w0s_w1s_ws_wos.rb} +10 -9
  33. data/lib/rggen/systemverilog/rtl/bit_field/type/rw_w1_wo_wo1.rb +1 -1
  34. data/lib/rggen/systemverilog/rtl/bit_field/type/rwc.rb +2 -2
  35. data/lib/rggen/systemverilog/rtl/bit_field/type/rwe.rb +2 -2
  36. data/lib/rggen/systemverilog/rtl/bit_field/type/rwl.rb +2 -2
  37. data/lib/rggen/systemverilog/rtl/bit_field/type/rws.rb +3 -3
  38. data/lib/rggen/systemverilog/rtl/bit_field/type/{w0crs_w1crs.erb → w0crs_w1crs_wcrs.erb} +1 -1
  39. data/lib/rggen/systemverilog/rtl/bit_field/type/{w0crs_w1crs.rb → w0crs_w1crs_wcrs.rb} +4 -4
  40. data/lib/rggen/systemverilog/rtl/bit_field/type/{w0src_w1src.erb → w0src_w1src_wsrc.erb} +1 -1
  41. data/lib/rggen/systemverilog/rtl/bit_field/type/{w0src_w1src.rb → w0src_w1src_wsrc.rb} +4 -4
  42. data/lib/rggen/systemverilog/rtl/bit_field/type/w0t_w1t.erb +10 -0
  43. data/lib/rggen/systemverilog/rtl/bit_field/type/w0t_w1t.rb +20 -0
  44. data/lib/rggen/systemverilog/rtl/bit_field/type/w0trg_w1trg.rb +1 -1
  45. data/lib/rggen/systemverilog/rtl/bit_field/type/wrc_wrs.erb +9 -0
  46. data/lib/rggen/systemverilog/rtl/bit_field/type/wrc_wrs.rb +14 -0
  47. data/lib/rggen/systemverilog/rtl/feature.rb +12 -16
  48. data/lib/rggen/systemverilog/rtl/partial_sum.rb +29 -0
  49. data/lib/rggen/systemverilog/rtl/register/sv_rtl_top.rb +10 -47
  50. data/lib/rggen/systemverilog/rtl/register/type.rb +25 -1
  51. data/lib/rggen/systemverilog/rtl/register/type/external.rb +10 -10
  52. data/lib/rggen/systemverilog/rtl/register/type/indirect.rb +3 -3
  53. data/lib/rggen/systemverilog/rtl/register_block/protocol.rb +17 -7
  54. data/lib/rggen/systemverilog/rtl/register_block/protocol/apb.erb +9 -5
  55. data/lib/rggen/systemverilog/rtl/register_block/protocol/apb.rb +13 -14
  56. data/lib/rggen/systemverilog/rtl/register_block/protocol/axi4lite.erb +11 -6
  57. data/lib/rggen/systemverilog/rtl/register_block/protocol/axi4lite.rb +53 -30
  58. data/lib/rggen/systemverilog/rtl/register_block/sv_rtl_top.rb +11 -10
  59. data/lib/rggen/systemverilog/rtl/register_file/sv_rtl_top.rb +30 -0
  60. data/lib/rggen/systemverilog/rtl/register_index.rb +112 -0
  61. data/lib/rggen/systemverilog/rtl/setup.rb +2 -1
  62. data/lib/rggen/systemverilog/version.rb +1 -1
  63. metadata +23 -12
  64. data/lib/rggen/systemverilog/ral/register_block/sv_ral_block_model.erb +0 -11
@@ -1,18 +1,18 @@
1
1
  # frozen_string_literal: true
2
2
 
3
- RgGen.define_list_item_feature(:bit_field, :type, [:rc, :w0c, :w1c]) do
3
+ RgGen.define_list_item_feature(:bit_field, :type, [:rc, :w0c, :w1c, :wc, :woc]) do
4
4
  sv_rtl do
5
5
  build do
6
- input :register_block, :set, {
6
+ input :set, {
7
7
  name: "i_#{full_name}_set", data_type: :logic, width: width,
8
8
  array_size: array_size, array_format: array_port_format
9
9
  }
10
- output :register_block, :value_out, {
10
+ output :value_out, {
11
11
  name: "o_#{full_name}", data_type: :logic, width: width,
12
12
  array_size: array_size, array_format: array_port_format
13
13
  }
14
14
  if bit_field.reference?
15
- output :register_block, :value_unmasked, {
15
+ output :value_unmasked, {
16
16
  name: "o_#{full_name}_unmasked", data_type: :logic, width: width,
17
17
  array_size: array_size, array_format: array_port_format
18
18
  }
@@ -24,15 +24,16 @@ RgGen.define_list_item_feature(:bit_field, :type, [:rc, :w0c, :w1c]) do
24
24
  private
25
25
 
26
26
  def module_name
27
- if bit_field.type == :rc
28
- 'rggen_bit_field_rc'
29
- else
30
- 'rggen_bit_field_w01c'
31
- end
27
+ bit_field.type == :rc && 'rggen_bit_field_rc' || 'rggen_bit_field_w01c_wc_woc'
32
28
  end
33
29
 
34
30
  def clear_value
35
- bin({ w0c: 0, w1c: 1 }[bit_field.type], 1)
31
+ value = { w0c: 0b00, w1c: 0b01, wc: 0b10, woc: 0b10 }[bit_field.type]
32
+ bin(value, 2)
33
+ end
34
+
35
+ def write_only
36
+ bit_field.write_only? && 1 || 0
36
37
  end
37
38
 
38
39
  def value_out_unmasked
@@ -4,7 +4,7 @@ RgGen.define_list_item_feature(:bit_field, :type, :ro) do
4
4
  sv_rtl do
5
5
  build do
6
6
  unless bit_field.reference?
7
- input :register_block, :value_in, {
7
+ input :value_in, {
8
8
  name: "i_#{full_name}", data_type: :logic, width: width,
9
9
  array_size: array_size, array_format: array_port_format
10
10
  }
@@ -1,6 +1,7 @@
1
1
  <%= module_name %> #(
2
- <% if [:w0s, :w1s].include?(bit_field.type) %>
2
+ <% if bit_field.type != :rs %>
3
3
  .SET_VALUE (<%= set_value %>),
4
+ .WRITE_ONLY (<%= write_only %>),
4
5
  <% end %>
5
6
  .WIDTH (<%= width %>),
6
7
  .INITIAL_VALUE (<%= initial_value %>)
@@ -1,13 +1,13 @@
1
1
  # frozen_string_literal: true
2
2
 
3
- RgGen.define_list_item_feature(:bit_field, :type, [:rs, :w0s, :w1s]) do
3
+ RgGen.define_list_item_feature(:bit_field, :type, [:rs, :w0s, :w1s, :ws, :wos]) do
4
4
  sv_rtl do
5
5
  build do
6
- input :register_block, :clear, {
6
+ input :clear, {
7
7
  name: "i_#{full_name}_clear", data_type: :logic, width: width,
8
8
  array_size: array_size, array_format: array_port_format
9
9
  }
10
- output :register_block, :value_out, {
10
+ output :value_out, {
11
11
  name: "o_#{full_name}", data_type: :logic, width: width,
12
12
  array_size: array_size, array_format: array_port_format
13
13
  }
@@ -18,15 +18,16 @@ RgGen.define_list_item_feature(:bit_field, :type, [:rs, :w0s, :w1s]) do
18
18
  private
19
19
 
20
20
  def module_name
21
- if bit_field.type == :rs
22
- 'rggen_bit_field_rs'
23
- else
24
- 'rggen_bit_field_w01s'
25
- end
21
+ bit_field.type == :rs && 'rggen_bit_field_rs' || 'rggen_bit_field_w01s_ws_wos'
26
22
  end
27
23
 
28
24
  def set_value
29
- bin({ w0s: 0, w1s: 1 }[bit_field.type], 1)
25
+ value = { w0s: 0b00, w1s: 0b01, ws: 0b10, wos: 0b10 }[bit_field.type]
26
+ bin(value, 2)
27
+ end
28
+
29
+ def write_only
30
+ bit_field.write_only? && 1 || 0
30
31
  end
31
32
  end
32
33
  end
@@ -3,7 +3,7 @@
3
3
  RgGen.define_list_item_feature(:bit_field, :type, [:rw, :w1, :wo, :wo1]) do
4
4
  sv_rtl do
5
5
  build do
6
- output :register_block, :value_out, {
6
+ output :value_out, {
7
7
  name: "o_#{full_name}", data_type: :logic, width: width,
8
8
  array_size: array_size, array_format: array_port_format
9
9
  }
@@ -4,12 +4,12 @@ RgGen.define_list_item_feature(:bit_field, :type, :rwc) do
4
4
  sv_rtl do
5
5
  build do
6
6
  unless bit_field.reference?
7
- input :register_block, :clear, {
7
+ input :clear, {
8
8
  name: "i_#{full_name}_clear", data_type: :logic, width: 1,
9
9
  array_size: array_size, array_format: array_port_format
10
10
  }
11
11
  end
12
- output :register_block, :value_out, {
12
+ output :value_out, {
13
13
  name: "o_#{full_name}", data_type: :logic, width: width,
14
14
  array_size: array_size, array_format: array_port_format
15
15
  }
@@ -4,12 +4,12 @@ RgGen.define_list_item_feature(:bit_field, :type, :rwe) do
4
4
  sv_rtl do
5
5
  build do
6
6
  unless bit_field.reference?
7
- input :register_block, :enable, {
7
+ input :enable, {
8
8
  name: "i_#{full_name}_enable", data_type: :logic, width: 1,
9
9
  array_size: array_size, array_format: array_port_format
10
10
  }
11
11
  end
12
- output :register_block, :value_out, {
12
+ output :value_out, {
13
13
  name: "o_#{full_name}", data_type: :logic, width: width,
14
14
  array_size: array_size, array_format: array_port_format
15
15
  }
@@ -4,12 +4,12 @@ RgGen.define_list_item_feature(:bit_field, :type, :rwl) do
4
4
  sv_rtl do
5
5
  build do
6
6
  unless bit_field.reference?
7
- input :register_block, :lock, {
7
+ input :lock, {
8
8
  name: "i_#{full_name}_lock", data_type: :logic, width: 1,
9
9
  array_size: array_size, array_format: array_port_format
10
10
  }
11
11
  end
12
- output :register_block, :value_out, {
12
+ output :value_out, {
13
13
  name: "o_#{full_name}", data_type: :logic, width: width,
14
14
  array_size: array_size, array_format: array_port_format
15
15
  }
@@ -4,16 +4,16 @@ RgGen.define_list_item_feature(:bit_field, :type, :rws) do
4
4
  sv_rtl do
5
5
  build do
6
6
  unless bit_field.reference?
7
- input :register_block, :set, {
7
+ input :set, {
8
8
  name: "i_#{full_name}_set", data_type: :logic, width: 1,
9
9
  array_size: array_size, array_format: array_port_format
10
10
  }
11
11
  end
12
- input :register_block, :value_in, {
12
+ input :value_in, {
13
13
  name: "i_#{full_name}", data_type: :logic, width: width,
14
14
  array_size: array_size, array_format: array_port_format
15
15
  }
16
- output :register_block, :value_out, {
16
+ output :value_out, {
17
17
  name: "o_#{full_name}", data_type: :logic, width: width,
18
18
  array_size: array_size, array_format: array_port_format
19
19
  }
@@ -1,4 +1,4 @@
1
- rggen_bit_field_w01crs #(
1
+ rggen_bit_field_w01crs_wcrs #(
2
2
  .CLEAR_VALUE (<%= clear_value %>),
3
3
  .WIDTH (<%= width %>),
4
4
  .INITIAL_VALUE (<%= initial_value %>)
@@ -1,9 +1,9 @@
1
1
  # frozen_string_literal: true
2
2
 
3
- RgGen.define_list_item_feature(:bit_field, :type, [:w0crs, :w1crs]) do
3
+ RgGen.define_list_item_feature(:bit_field, :type, [:w0crs, :w1crs, :wcrs]) do
4
4
  sv_rtl do
5
5
  build do
6
- output :register_block, :value_out, {
6
+ output :value_out, {
7
7
  name: "o_#{full_name}", data_type: :logic, width: width,
8
8
  array_size: array_size, array_format: array_port_format
9
9
  }
@@ -14,8 +14,8 @@ RgGen.define_list_item_feature(:bit_field, :type, [:w0crs, :w1crs]) do
14
14
  private
15
15
 
16
16
  def clear_value
17
- value = (bit_field.type == :w0crs && 0) || 1
18
- bin(value, 1)
17
+ value = { w0crs: 0b00, w1crs: 0b01, wcrs: 0b10 }[bit_field.type]
18
+ bin(value, 2)
19
19
  end
20
20
  end
21
21
  end
@@ -1,4 +1,4 @@
1
- rggen_bit_field_w01src #(
1
+ rggen_bit_field_w01src_wsrc #(
2
2
  .SET_VALUE (<%= set_value %>),
3
3
  .WIDTH (<%= width %>),
4
4
  .INITIAL_VALUE (<%= initial_value %>)
@@ -1,9 +1,9 @@
1
1
  # frozen_string_literal: true
2
2
 
3
- RgGen.define_list_item_feature(:bit_field, :type, [:w0src, :w1src]) do
3
+ RgGen.define_list_item_feature(:bit_field, :type, [:w0src, :w1src, :wsrc]) do
4
4
  sv_rtl do
5
5
  build do
6
- output :register_block, :value_out, {
6
+ output :value_out, {
7
7
  name: "o_#{full_name}", data_type: :logic, width: width,
8
8
  array_size: array_size, array_format: array_port_format
9
9
  }
@@ -14,8 +14,8 @@ RgGen.define_list_item_feature(:bit_field, :type, [:w0src, :w1src]) do
14
14
  private
15
15
 
16
16
  def set_value
17
- value = (bit_field.type == :w0src && 0) || 1
18
- bin(value, 1)
17
+ value = { w0src: 0b00, w1src: 0b01, wsrc: 0b10 }[bit_field.type]
18
+ bin(value, 2)
19
19
  end
20
20
  end
21
21
  end
@@ -0,0 +1,10 @@
1
+ rggen_bit_field_w01t #(
2
+ .TOGGLE_VALUE (<%= toggle_value %>),
3
+ .WIDTH (<%= width %>),
4
+ .INITIAL_VALUE (<%= initial_value %>)
5
+ ) u_bit_field (
6
+ .i_clk (<%= clock %>),
7
+ .i_rst_n (<%= reset %>),
8
+ .bit_field_if (<%= bit_field_if %>),
9
+ .o_value (<%= value_out[loop_variables] %>)
10
+ );
@@ -0,0 +1,20 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:bit_field, :type, [:w0t, :w1t]) do
4
+ sv_rtl do
5
+ build do
6
+ output :value_out, {
7
+ name: "o_#{full_name}", data_type: :logic, width: width,
8
+ array_size: array_size, array_format: array_port_format
9
+ }
10
+ end
11
+
12
+ main_code :bit_field, from_template: true
13
+
14
+ private
15
+
16
+ def toggle_value
17
+ bin({ w0t: 0, w1t: 1 }[bit_field.type], 1)
18
+ end
19
+ end
20
+ end
@@ -3,7 +3,7 @@
3
3
  RgGen.define_list_item_feature(:bit_field, :type, [:w0trg, :w1trg]) do
4
4
  sv_rtl do
5
5
  build do
6
- output :register_block, :trigger, {
6
+ output :trigger, {
7
7
  name: "o_#{full_name}_trigger", data_type: :logic, width: width,
8
8
  array_size: array_size, array_format: array_port_format
9
9
  }
@@ -0,0 +1,9 @@
1
+ rggen_bit_field_<%= bit_field.type %> #(
2
+ .WIDTH (<%= width %>),
3
+ .INITIAL_VALUE (<%= initial_value %>)
4
+ ) u_bit_field (
5
+ .i_clk (<%= clock %>),
6
+ .i_rst_n (<%= reset %>),
7
+ .bit_field_if (<%= bit_field_if %>),
8
+ .o_value (<%= value_out[loop_variables] %>)
9
+ );
@@ -0,0 +1,14 @@
1
+ # frozen_string_literal: true
2
+
3
+ RgGen.define_list_item_feature(:bit_field, :type, [:wrc, :wrs]) do
4
+ sv_rtl do
5
+ build do
6
+ output :value_out, {
7
+ name: "o_#{full_name}", data_type: :logic, width: width,
8
+ array_size: array_size, array_format: array_port_format
9
+ }
10
+ end
11
+
12
+ main_code :bit_field, from_template: true
13
+ end
14
+ end
@@ -6,43 +6,39 @@ module RgGen
6
6
  class Feature < Common::Feature
7
7
  private
8
8
 
9
- def create_variable(data_type, attributes = {}, &block)
9
+ def create_variable(data_type, attributes, &block)
10
10
  DataObject.new(
11
11
  :variable, attributes.merge(data_type: data_type), &block
12
12
  )
13
13
  end
14
14
 
15
- def create_interface(_, attributes = {}, &block)
15
+ def create_if_instance(_, attributes, &block)
16
16
  InterfaceInstance.new(attributes, &block)
17
17
  end
18
18
 
19
- def create_argument(direction, attributes = {}, &block)
19
+ def create_argument(direction, attributes, &block)
20
20
  DataObject.new(
21
21
  :argument, attributes.merge(direction: direction), &block
22
22
  )
23
23
  end
24
24
 
25
- def create_interface_port(_, attributes = {}, &block)
25
+ def create_if_port(_, attributes, &block)
26
26
  InterfacePort.new(attributes, &block)
27
27
  end
28
28
 
29
- def create_parameter(parameter_type, attributes = {}, &block)
29
+ def create_parameter(parameter_type, attributes, &block)
30
30
  DataObject.new(
31
31
  :parameter, attributes.merge(parameter_type: parameter_type), &block
32
32
  )
33
33
  end
34
34
 
35
- [
36
- [:logic, :create_variable, :variable],
37
- [:interface, :create_interface, :variable],
38
- [:input, :create_argument, :port],
39
- [:output, :create_argument, :port],
40
- [:interface_port, :create_interface_port, :port],
41
- [:parameter, :create_parameter, :parameter],
42
- [:localparam, :create_parameter, :parameter]
43
- ].each do |entity, creation_method, declaration_type|
44
- define_entity(entity, creation_method, declaration_type)
45
- end
35
+ define_entity :logic, :create_variable, :variable, -> { component }
36
+ define_entity :interface, :create_if_instance, :variable, -> { component }
37
+ define_entity :input, :create_argument, :port, -> { register_block }
38
+ define_entity :output, :create_argument, :port, -> { register_block }
39
+ define_entity :interface_port, :create_if_port, :port, -> { register_block }
40
+ define_entity :parameter, :create_parameter, :parameter, -> { register_block }
41
+ define_entity :localparam, :create_parameter, :parameter, -> { component }
46
42
  end
47
43
  end
48
44
  end
@@ -0,0 +1,29 @@
1
+ # frozen_string_literal: true
2
+
3
+ module RgGen
4
+ module SystemVerilog
5
+ module RTL
6
+ module PartialSum
7
+ private
8
+
9
+ def partial_sums(operands)
10
+ sums =
11
+ operands
12
+ .chunk(&method(:integer?))
13
+ .flat_map(&method(:calc_partial_sum))
14
+ .reject { |value| integer?(value) && value.zero? }
15
+ sums.empty? && [0] || sums
16
+ end
17
+
18
+ def calc_partial_sum(kind_ans_values)
19
+ kind, values = kind_ans_values
20
+ kind && values.sum || values
21
+ end
22
+
23
+ def integer?(value)
24
+ value.is_a?(Integer)
25
+ end
26
+ end
27
+ end
28
+ end
29
+ end
@@ -2,18 +2,11 @@
2
2
 
3
3
  RgGen.define_simple_feature(:register, :sv_rtl_top) do
4
4
  sv_rtl do
5
- export :index
6
- export :local_index
7
- export :loop_variables
8
-
9
- pre_build do
10
- @base_index =
11
- register_block.registers.map(&:count).sum
12
- end
5
+ include RgGen::SystemVerilog::RTL::RegisterIndex
13
6
 
14
7
  build do
15
- if register.bit_fields?
16
- interface :register, :bit_field_if, {
8
+ unless register.bit_fields.empty?
9
+ interface :bit_field_if, {
17
10
  name: 'bit_field_if',
18
11
  interface_type: 'rggen_bit_field_if',
19
12
  parameter_values: [register.width]
@@ -21,62 +14,32 @@ RgGen.define_simple_feature(:register, :sv_rtl_top) do
21
14
  end
22
15
  end
23
16
 
24
- main_code :register_block do
17
+ main_code :register_file do
25
18
  local_scope("g_#{register.name}") do |scope|
26
- scope.top_scope
19
+ scope.top_scope top_scope?
27
20
  scope.loop_size loop_size
28
21
  scope.variables variables
29
22
  scope.body(&method(:body_code))
30
23
  end
31
24
  end
32
25
 
33
- def index(offset = nil)
34
- operands =
35
- register.array? ? [@base_index, offset || local_index] : [@base_index]
36
- if operands.all? { |operand| operand.is_a?(Integer) }
37
- operands.sum
38
- else
39
- operands.join('+')
40
- end
41
- end
42
-
43
- def local_index
44
- (register.array? || nil) &&
45
- loop_variables
46
- .zip(local_index_coefficients)
47
- .map { |v, c| [c, v].compact.join('*') }
48
- .join('+')
49
- end
50
-
51
- def loop_variables
52
- (register.array? || nil) &&
53
- register.array_size.map.with_index(1) do |_size, i|
54
- create_identifier(loop_index(i))
55
- end
56
- end
57
-
58
26
  private
59
27
 
60
- def local_index_coefficients
61
- coefficients = []
62
- register.array_size.reverse.inject(1) do |total, size|
63
- coefficients.unshift(coefficients.size.zero? ? nil : total)
64
- total * size
65
- end
66
- coefficients
28
+ def top_scope?
29
+ register_file.nil?
67
30
  end
68
31
 
69
32
  def loop_size
70
33
  (register.array? || nil) &&
71
- loop_variables.zip(register.array_size).to_h
34
+ local_loop_variables.zip(register.array_size).to_h
72
35
  end
73
36
 
74
37
  def variables
75
- register.declarations(:register, :variable)
38
+ register.declarations[:variable]
76
39
  end
77
40
 
78
41
  def body_code(code)
79
- register.generate_code(:register, :top_down, code)
42
+ register.generate_code(code, :register, :top_down)
80
43
  end
81
44
  end
82
45
  end