github-linguist 7.11.0 → 7.13.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (598) hide show
  1. checksums.yaml +4 -4
  2. data/ext/linguist/lex.linguist_yy.c +1123 -623
  3. data/ext/linguist/lex.linguist_yy.h +433 -66
  4. data/ext/linguist/linguist.c +5 -35
  5. data/ext/linguist/linguist.h +0 -18
  6. data/ext/linguist/tokenizer.l +83 -65
  7. data/grammars/annotation.liquidhaskell.haskell.json +1 -1835
  8. data/grammars/config.xcompose.json +1 -80
  9. data/grammars/documentation.markdown.injection.haxe.json +1 -22
  10. data/grammars/etc.json +1 -987
  11. data/grammars/file.lasso.json +1 -702
  12. data/grammars/hidden.manref.json +1 -29
  13. data/grammars/hidden.regexp.injection-shell.json +1 -28
  14. data/grammars/hint.haskell.json +1 -1762
  15. data/grammars/hint.message.haskell.json +1 -1786
  16. data/grammars/hint.type.haskell.json +1 -1759
  17. data/grammars/injections.etc.json +1 -659
  18. data/grammars/inline.prisma.json +1 -55
  19. data/grammars/markdown.hack.codeblock.json +1 -20
  20. data/grammars/markdown.haxe.codeblock.json +1 -26
  21. data/grammars/markdown.hxml.codeblock.json +1 -26
  22. data/grammars/markdown.lean.codeblock.json +1 -0
  23. data/grammars/markdown.plantuml.codeblock.json +1 -26
  24. data/grammars/objdump.x86asm.json +1 -69
  25. data/grammars/source.4dm.json +1 -1156
  26. data/grammars/source.SASLog.json +1 -18
  27. data/grammars/source.abap.json +1 -335
  28. data/grammars/source.abapcds.json +1 -0
  29. data/grammars/source.abl.json +1 -734
  30. data/grammars/source.abnf.json +1 -294
  31. data/grammars/source.actionscript.3.json +1 -1538
  32. data/grammars/source.acucobol.json +1 -13
  33. data/grammars/source.ada.json +1 -133
  34. data/grammars/source.afm.json +1 -1207
  35. data/grammars/source.agc.json +1 -87
  36. data/grammars/source.agda.json +1 -72
  37. data/grammars/source.ahk.json +1 -195
  38. data/grammars/source.al.json +1 -130
  39. data/grammars/source.alloy.json +1 -40
  40. data/grammars/source.ampl.json +1 -151
  41. data/grammars/source.angelscript.json +1 -186
  42. data/grammars/source.antlr.json +1 -295
  43. data/grammars/source.apache-config.json +1 -346
  44. data/grammars/source.apache-config.mod_perl.json +1 -65
  45. data/grammars/source.apl.json +1 -1427
  46. data/grammars/source.applescript.json +1 -1384
  47. data/grammars/source.asl.json +1 -56
  48. data/grammars/source.asn.json +1 -55
  49. data/grammars/source.asp.json +1 -151
  50. data/grammars/source.aspectj.json +1 -960
  51. data/grammars/source.assembly.json +1 -116
  52. data/grammars/source.ats.json +1 -164
  53. data/grammars/source.autoit.json +1 -167
  54. data/grammars/source.avro.json +1 -381
  55. data/grammars/source.awk.json +1 -384
  56. data/grammars/source.ballerina.json +1 -1785
  57. data/grammars/source.batchfile.json +1 -732
  58. data/grammars/source.bdf.json +1 -425
  59. data/grammars/source.befunge.json +1 -34
  60. data/grammars/source.bf.json +1 -30
  61. data/grammars/source.blitzmax.json +1 -905
  62. data/grammars/source.bnf.json +1 -191
  63. data/grammars/source.boo.json +1 -448
  64. data/grammars/source.boogie.json +1 -0
  65. data/grammars/source.brightauthorproject.json +1 -24
  66. data/grammars/source.brightscript.json +1 -148
  67. data/grammars/source.bsl.json +1 -451
  68. data/grammars/source.bsv.json +1 -277
  69. data/grammars/source.c++.json +1 -436
  70. data/grammars/source.c++.qt.json +1 -29
  71. data/grammars/source.c.ec.json +1 -33
  72. data/grammars/source.c.json +1 -712
  73. data/grammars/source.c.nwscript.json +1 -0
  74. data/grammars/source.c.platform.json +1 -1115
  75. data/grammars/source.c2hs.json +1 -24
  76. data/grammars/source.cabal.json +1 -63
  77. data/grammars/source.cache.cmake.json +1 -70
  78. data/grammars/source.cake.json +1 -13
  79. data/grammars/source.camlp4.ocaml.json +1 -60
  80. data/grammars/source.capnp.json +1 -88
  81. data/grammars/source.ceylon.json +1 -93
  82. data/grammars/source.cfscript.cfc.json +1 -162
  83. data/grammars/source.cfscript.json +1 -737
  84. data/grammars/source.changelogs.rpm-spec.json +1 -91
  85. data/grammars/source.chapel.json +1 -265
  86. data/grammars/source.cirru.json +1 -61
  87. data/grammars/source.clarion.json +1 -146
  88. data/grammars/source.clean.json +1 -222
  89. data/grammars/source.click.json +1 -107
  90. data/grammars/source.clips.json +1 -67
  91. data/grammars/source.clojure.json +1 -429
  92. data/grammars/source.cm.json +1 -49
  93. data/grammars/source.cmake.json +1 -173
  94. data/grammars/source.cobol.json +1 -485
  95. data/grammars/source.coffee.json +1 -1310
  96. data/grammars/source.cool.json +1 -96
  97. data/grammars/source.coq.json +1 -58
  98. data/grammars/source.crystal.json +1 -2694
  99. data/grammars/source.cs.json +1 -4323
  100. data/grammars/source.csound-document.json +1 -175
  101. data/grammars/source.csound-score.json +1 -191
  102. data/grammars/source.csound.json +1 -824
  103. data/grammars/source.css.json +1 -1859
  104. data/grammars/source.css.less.json +1 -536
  105. data/grammars/source.css.mss.json +1 -249
  106. data/grammars/source.css.postcss.sugarss.json +1 -260
  107. data/grammars/source.css.scss.json +1 -1873
  108. data/grammars/source.csx.json +1 -13
  109. data/grammars/source.cuda-c++.json +1 -103
  110. data/grammars/source.curlrc.json +1 -984
  111. data/grammars/source.cwl.json +1 -103
  112. data/grammars/source.cython.json +1 -1912
  113. data/grammars/source.d.json +1 -741
  114. data/grammars/source.dart.json +1 -433
  115. data/grammars/source.data-weave.json +1 -1580
  116. data/grammars/source.desktop.json +1 -86
  117. data/grammars/source.diff.json +1 -153
  118. data/grammars/source.dircolors.json +1 -137
  119. data/grammars/source.direct-x.json +1 -135
  120. data/grammars/source.disasm.json +1 -30
  121. data/grammars/source.ditroff.desc.json +1 -411
  122. data/grammars/source.ditroff.json +1 -1280
  123. data/grammars/source.dm.json +1 -628
  124. data/grammars/source.dmf.json +1 -96
  125. data/grammars/source.dockerfile.json +1 -88
  126. data/grammars/source.dosbox-conf.json +1 -97
  127. data/grammars/source.dot.json +1 -117
  128. data/grammars/source.dylan.json +1 -212
  129. data/grammars/source.ebnf.json +1 -240
  130. data/grammars/source.editorconfig.json +1 -365
  131. data/grammars/source.eiffel.json +1 -133
  132. data/grammars/source.elixir.json +1 -965
  133. data/grammars/source.elm.json +1 -375
  134. data/grammars/source.emacs.lisp.json +1 -1301
  135. data/grammars/source.erlang.json +1 -1647
  136. data/grammars/source.essl.json +1 -37
  137. data/grammars/source.factor.json +1 -252
  138. data/grammars/source.fan.json +1 -341
  139. data/grammars/source.fancy.json +1 -245
  140. data/grammars/source.faust.json +1 -119
  141. data/grammars/source.figctrl.json +1 -252
  142. data/grammars/source.figfont.json +1 -121
  143. data/grammars/source.firestore.json +1 -289
  144. data/grammars/source.fish.json +1 -190
  145. data/grammars/source.fontdir.json +1 -99
  146. data/grammars/source.fontforge.json +1 -355
  147. data/grammars/source.forth.json +1 -84
  148. data/grammars/source.fortran.json +1 -464
  149. data/grammars/source.fortran.modern.json +1 -200
  150. data/grammars/source.fsharp.fsi.json +1 -9
  151. data/grammars/source.fsharp.fsl.json +1 -9
  152. data/grammars/source.fsharp.fsx.json +1 -13
  153. data/grammars/source.fsharp.json +1 -452
  154. data/grammars/source.fstar.json +1 -439
  155. data/grammars/source.futhark.json +1 -167
  156. data/grammars/source.gap.json +1 -163
  157. data/grammars/source.gcode.json +1 -176
  158. data/grammars/source.gdb.json +1 -160
  159. data/grammars/source.gdb.session.json +1 -70
  160. data/grammars/source.gdbregs.json +1 -14
  161. data/grammars/source.gdscript.json +1 -245
  162. data/grammars/source.gedcom.json +1 -196
  163. data/grammars/source.generic-db.json +1 -41
  164. data/grammars/source.gerber.json +1 -321
  165. data/grammars/source.gf.json +1 -51
  166. data/grammars/source.gfm.blade.json +1 -15
  167. data/grammars/source.gfm.json +1 -1913
  168. data/grammars/source.gitattributes.json +1 -111
  169. data/grammars/source.gitconfig.json +1 -450
  170. data/grammars/source.gitignore.json +1 -140
  171. data/grammars/source.glsl.json +1 -139
  172. data/grammars/source.gn.json +1 -345
  173. data/grammars/source.gnuplot.json +1 -260
  174. data/grammars/source.go.json +1 -540
  175. data/grammars/source.golo.json +1 -177
  176. data/grammars/source.gosu.2.json +1 -153
  177. data/grammars/source.grace.json +1 -675
  178. data/grammars/source.graphql.json +1 -978
  179. data/grammars/source.gremlin.json +1 -200
  180. data/grammars/source.groovy.gradle.json +1 -69
  181. data/grammars/source.groovy.json +1 -1374
  182. data/grammars/source.hack.json +1 -2595
  183. data/grammars/source.haproxy-config.json +1 -152
  184. data/grammars/source.harbour.json +1 -388
  185. data/grammars/source.haskell.json +1 -1760
  186. data/grammars/source.hc.json +1 -709
  187. data/grammars/source.hgignore.json +1 -34
  188. data/grammars/source.hlsl.json +1 -211
  189. data/grammars/source.hosts.json +1 -40
  190. data/grammars/source.hql.json +1 -492
  191. data/grammars/source.hsc2hs.json +1 -9
  192. data/grammars/source.hsig.json +1 -1760
  193. data/grammars/source.httpspec.json +1 -283
  194. data/grammars/source.hx.argument.json +1 -80
  195. data/grammars/source.hx.json +1 -2428
  196. data/grammars/source.hx.type.json +1 -8
  197. data/grammars/source.hxml.json +1 -59
  198. data/grammars/source.hy.json +1 -429
  199. data/grammars/source.ideal.json +1 -356
  200. data/grammars/source.idl-dlm.json +1 -105
  201. data/grammars/source.idl.json +1 -246
  202. data/grammars/source.idris.json +1 -523
  203. data/grammars/source.igor.json +1 -369
  204. data/grammars/source.inform7.json +1 -183
  205. data/grammars/source.ini.json +1 -107
  206. data/grammars/source.ini.npmrc.json +1 -311
  207. data/grammars/source.inno.json +1 -133
  208. data/grammars/source.inputrc.json +1 -500
  209. data/grammars/source.io.json +1 -156
  210. data/grammars/source.ioke.json +1 -266
  211. data/grammars/source.isabelle.root.json +1 -64
  212. data/grammars/source.isabelle.theory.json +1 -102
  213. data/grammars/source.j.json +1 -260
  214. data/grammars/source.jasmin.json +1 -294
  215. data/grammars/source.java-properties.json +1 -87
  216. data/grammars/source.java.json +1 -1020
  217. data/grammars/source.jcl.json +1 -46
  218. data/grammars/source.jflex.json +1 -484
  219. data/grammars/source.jison.json +1 -711
  220. data/grammars/source.jisonlex-injection.json +1 -10
  221. data/grammars/source.jisonlex.json +1 -434
  222. data/grammars/source.jolie.json +1 -132
  223. data/grammars/source.jq.json +1 -211
  224. data/grammars/source.js.json +1 -1897
  225. data/grammars/source.js.objj.json +1 -419
  226. data/grammars/source.js.regexp.json +1 -152
  227. data/grammars/source.js.regexp.replacement.json +1 -26
  228. data/grammars/source.jsdoc.json +1 -460
  229. data/grammars/source.json.json +1 -139
  230. data/grammars/source.jsonnet.json +1 -197
  231. data/grammars/source.julia.console.json +1 -51
  232. data/grammars/source.julia.json +1 -991
  233. data/grammars/source.kotlin.json +1 -704
  234. data/grammars/source.lark.json +1 -0
  235. data/grammars/source.lbnf.json +1 -0
  236. data/grammars/source.lcov.json +1 -398
  237. data/grammars/source.lean.json +1 -226
  238. data/grammars/source.lean.markdown.json +1 -2598
  239. data/grammars/source.lex.json +1 -232
  240. data/grammars/source.lex.regexp.json +1 -269
  241. data/grammars/source.lid.json +1 -19
  242. data/grammars/source.lilypond.json +1 -869
  243. data/grammars/source.lisp.json +1 -101
  244. data/grammars/source.litcoffee.json +1 -654
  245. data/grammars/source.livescript.json +1 -603
  246. data/grammars/source.llvm.json +1 -61
  247. data/grammars/source.logos.json +1 -24
  248. data/grammars/source.logtalk.json +1 -310
  249. data/grammars/source.loomscript.json +1 -208
  250. data/grammars/source.lsl.json +1 -241
  251. data/grammars/source.ltspice.symbol.json +1 -294
  252. data/grammars/source.lua.json +1 -275
  253. data/grammars/source.m2.json +1 -125
  254. data/grammars/source.m4.json +1 -229
  255. data/grammars/source.m68k.json +1 -412
  256. data/grammars/source.mailmap.json +1 -28
  257. data/grammars/source.makefile.json +1 -470
  258. data/grammars/source.makegen.json +1 -9
  259. data/grammars/source.man-conf.json +1 -153
  260. data/grammars/source.mask.json +1 -816
  261. data/grammars/source.mata.json +1 -125
  262. data/grammars/source.mathematica.json +1 -416
  263. data/grammars/source.matlab.json +1 -1096
  264. data/grammars/source.maxscript.json +1 -465
  265. data/grammars/source.mcfunction-snapshot.json +1 -24480
  266. data/grammars/source.mcfunction.json +1 -1354
  267. data/grammars/source.mercury.json +1 -246
  268. data/grammars/source.meson.json +1 -165
  269. data/grammars/source.meta-info.json +1 -146
  270. data/grammars/source.ml.json +1 -285
  271. data/grammars/source.mlir.json +1 -109
  272. data/grammars/source.modelica.json +1 -142
  273. data/grammars/source.modula-3.json +1 -88
  274. data/grammars/source.modula2.json +1 -94
  275. data/grammars/source.monkey.json +1 -694
  276. data/grammars/source.moonscript.json +1 -144
  277. data/grammars/source.mql5.json +1 -187
  278. data/grammars/source.msl.json +1 -755
  279. data/grammars/source.mupad.json +1 -131
  280. data/grammars/source.nanorc.json +1 -333
  281. data/grammars/source.nasl.json +1 -155
  282. data/grammars/source.ncl.json +1 -53
  283. data/grammars/source.ne.json +1 -105
  284. data/grammars/source.nemerle.json +1 -132
  285. data/grammars/source.neon.json +1 -381
  286. data/grammars/source.nesc.json +1 -21
  287. data/grammars/source.netlinx.erb.json +1 -34
  288. data/grammars/source.netlinx.json +1 -704
  289. data/grammars/source.nextflow-groovy.json +1 -1359
  290. data/grammars/source.nextflow.json +1 -94
  291. data/grammars/source.nginx.json +1 -815
  292. data/grammars/source.nim.comment.json +1 -82
  293. data/grammars/source.nim.json +1 -1083
  294. data/grammars/source.nim_filter.json +1 -113
  295. data/grammars/source.nimcfg.json +1 -103
  296. data/grammars/source.ninja.json +1 -248
  297. data/grammars/source.nit.json +1 -234
  298. data/grammars/source.nix.json +1 -1196
  299. data/grammars/source.nsis.json +1 -152
  300. data/grammars/source.nu.json +1 -516
  301. data/grammars/source.nunjucks.json +1 -0
  302. data/grammars/source.nut.json +1 -262
  303. data/grammars/source.objc++.json +1 -12
  304. data/grammars/source.objc.json +1 -910
  305. data/grammars/source.objc.platform.json +1 -842
  306. data/grammars/source.objectscript.json +1 -309
  307. data/grammars/source.objectscript_class.json +1 -674
  308. data/grammars/source.objectscript_csp.json +1 -19
  309. data/grammars/source.objectscript_macros.json +1 -226
  310. data/grammars/source.ocaml.json +1 -1418
  311. data/grammars/source.ocamllex.json +1 -298
  312. data/grammars/source.ocamlyacc.json +1 -339
  313. data/grammars/source.odin-ehr.json +1 -806
  314. data/grammars/source.odin.json +1 -402
  315. data/grammars/source.ooc.json +1 -685
  316. data/grammars/source.opa.json +1 -196
  317. data/grammars/source.opal.json +1 -410
  318. data/grammars/source.opalsysdefs.json +1 -66
  319. data/grammars/source.openbsd-pkg.contents.json +1 -128
  320. data/grammars/source.opencobol.json +1 -62
  321. data/grammars/source.opentype.json +1 -448
  322. data/grammars/source.opts.json +1 -108
  323. data/grammars/source.ox.json +1 -89
  324. data/grammars/source.oz.json +1 -77
  325. data/grammars/source.p4.json +1 -122
  326. data/grammars/source.paket.dependencies.json +1 -0
  327. data/grammars/source.paket.lock.json +1 -0
  328. data/grammars/source.pan.json +1 -706
  329. data/grammars/source.papyrus.skyrim.json +1 -1272
  330. data/grammars/source.parrot.pir.json +1 -145
  331. data/grammars/source.pascal.json +1 -143
  332. data/grammars/source.pawn.json +1 -1194
  333. data/grammars/source.pcb.board.json +1 -285
  334. data/grammars/source.pcb.schematic.json +1 -424
  335. data/grammars/source.pcb.sexp.json +1 -232
  336. data/grammars/source.pep8.json +1 -118
  337. data/grammars/source.perl.6.json +1 -309
  338. data/grammars/source.perl.json +1 -2519
  339. data/grammars/source.php.zephir.json +1 -353
  340. data/grammars/source.pic.json +1 -908
  341. data/grammars/source.pig_latin.json +1 -168
  342. data/grammars/source.pike.json +1 -49
  343. data/grammars/source.plist.json +1 -336
  344. data/grammars/source.po.json +1 -222
  345. data/grammars/source.pogoscript.json +1 -79
  346. data/grammars/source.pony.json +1 -245
  347. data/grammars/source.postcss.json +1 -370
  348. data/grammars/source.postscript.json +1 -477
  349. data/grammars/source.pov-ray sdl.json +1 -82
  350. data/grammars/source.powershell.json +1 -981
  351. data/grammars/source.prisma.json +1 -435
  352. data/grammars/source.processing.json +1 -872
  353. data/grammars/source.prolog.eclipse.json +1 -201
  354. data/grammars/source.prolog.json +1 -286
  355. data/grammars/source.protobuf.json +1 -471
  356. data/grammars/source.puppet.json +1 -433
  357. data/grammars/source.purescript.json +1 -861
  358. data/grammars/source.pyjade.json +1 -996
  359. data/grammars/source.python.django.json +1 -29
  360. data/grammars/source.python.json +1 -5292
  361. data/grammars/source.python.salt.json +1 -9
  362. data/grammars/source.q.json +1 -215
  363. data/grammars/source.q_output.json +1 -348
  364. data/grammars/source.qasm.json +1 -66
  365. data/grammars/source.ql.json +1 -1408
  366. data/grammars/source.qmake.json +1 -210
  367. data/grammars/source.qml.json +1 -174
  368. data/grammars/source.qsharp.json +1 -120
  369. data/grammars/source.quake.json +1 -44
  370. data/grammars/source.quoting.raku.json +1 -0
  371. data/grammars/source.r.json +1 -194
  372. data/grammars/source.racket.json +1 -98
  373. data/grammars/source.raku.json +1 -0
  374. data/grammars/source.rascal.json +1 -283
  375. data/grammars/source.reason.hover.type.json +1 -14
  376. data/grammars/source.reason.json +1 -3382
  377. data/grammars/source.rebol.json +1 -637
  378. data/grammars/source.record-jar.json +1 -0
  379. data/grammars/source.red.json +1 -644
  380. data/grammars/source.reg.json +1 -159
  381. data/grammars/source.regexp.extended.json +1 -558
  382. data/grammars/source.regexp.json +1 -1434
  383. data/grammars/source.regexp.posix.json +1 -0
  384. data/grammars/source.regexp.python.json +1 -490
  385. data/grammars/source.regexp.raku.json +1 -0
  386. data/grammars/source.regexp.spin.json +1 -179
  387. data/grammars/source.rego.json +1 -124
  388. data/grammars/source.renpy.json +1 -1948
  389. data/grammars/source.rescript.json +1 -0
  390. data/grammars/source.rexx.json +1 -52
  391. data/grammars/source.ring.json +1 -247
  392. data/grammars/source.rpm-spec.json +1 -765
  393. data/grammars/source.ruby.gemfile.json +1 -24
  394. data/grammars/source.ruby.json +1 -2605
  395. data/grammars/source.ruby.rspec.cucumber.steps.json +1 -261
  396. data/grammars/source.rust.json +1 -629
  397. data/grammars/source.sas.json +1 -286
  398. data/grammars/source.sass.json +1 -1089
  399. data/grammars/source.sassdoc.json +1 -346
  400. data/grammars/source.scad.json +1 -145
  401. data/grammars/source.scala.json +1 -1121
  402. data/grammars/source.scaml.json +1 -451
  403. data/grammars/source.scheme.json +1 -353
  404. data/grammars/source.scilab.json +1 -96
  405. data/grammars/source.sdbl.json +1 -90
  406. data/grammars/source.sed.json +1 -1520
  407. data/grammars/source.shaderlab.json +1 -198
  408. data/grammars/source.shell.json +1 -1272
  409. data/grammars/source.shen.json +1 -143
  410. data/grammars/source.sieve.json +1 -383
  411. data/grammars/source.slice.json +1 -2755
  412. data/grammars/source.smali.json +1 -1610
  413. data/grammars/source.smalltalk.json +1 -187
  414. data/grammars/source.smpl.json +1 -314
  415. data/grammars/source.smt.json +1 -158
  416. data/grammars/source.solidity.json +1 -189
  417. data/grammars/source.sourcepawn.json +1 -651
  418. data/grammars/source.sparql.json +1 -18
  419. data/grammars/source.spin.json +1 -916
  420. data/grammars/source.sqf.json +1 -111
  421. data/grammars/source.sql.json +1 -459
  422. data/grammars/source.ssh-config.json +1 -97
  423. data/grammars/source.stan.json +1 -388
  424. data/grammars/source.stata.json +1 -197
  425. data/grammars/source.strings.json +1 -49
  426. data/grammars/source.stylus.json +1 -1018
  427. data/grammars/source.supercollider.json +1 -93
  428. data/grammars/source.svelte.json +1 -827
  429. data/grammars/source.swift.json +1 -3134
  430. data/grammars/source.sy.json +1 -2954
  431. data/grammars/source.systemverilog.json +1 -1036
  432. data/grammars/source.tags.json +1 -266
  433. data/grammars/source.tcl.json +1 -260
  434. data/grammars/source.tea.json +1 -174
  435. data/grammars/source.terra.json +1 -138
  436. data/grammars/source.terraform.json +1 -694
  437. data/grammars/source.thrift.json +1 -698
  438. data/grammars/source.tl.json +1 -259
  439. data/grammars/source.tla.json +1 -140
  440. data/grammars/source.tnsaudit.json +1 -256
  441. data/grammars/source.toc.json +1 -52
  442. data/grammars/source.toml.json +1 -426
  443. data/grammars/source.ts.json +1 -5581
  444. data/grammars/source.tsql.json +1 -507
  445. data/grammars/source.tsx.json +1 -5834
  446. data/grammars/source.turing.json +1 -1553
  447. data/grammars/source.turtle.json +1 -489
  448. data/grammars/source.txl.json +1 -48
  449. data/grammars/source.ucd.nameslist.json +1 -444
  450. data/grammars/source.ucd.unidata.json +1 -570
  451. data/grammars/source.ucfconstraints.json +1 -67
  452. data/grammars/source.ur.json +1 -31
  453. data/grammars/source.v.json +1 -1010
  454. data/grammars/source.vala.json +1 -800
  455. data/grammars/source.varnish.vcl.json +1 -331
  456. data/grammars/source.vbnet.json +1 -239
  457. data/grammars/source.verilog.json +1 -296
  458. data/grammars/source.vhdl.json +1 -1374
  459. data/grammars/source.vim-snippet.json +1 -645
  460. data/grammars/source.viml.json +1 -1197
  461. data/grammars/source.vtt.json +1 -702
  462. data/grammars/source.vyper.json +1 -213
  463. data/grammars/source.wavefront.mtl.json +1 -1190
  464. data/grammars/source.wavefront.obj.json +1 -1341
  465. data/grammars/source.wdl.json +1 -220
  466. data/grammars/source.webassembly.json +1 -349
  467. data/grammars/source.webidl.json +1 -807
  468. data/grammars/source.wgetrc.json +1 -1640
  469. data/grammars/source.wollok.json +1 -100
  470. data/grammars/source.wsd.json +1 -781
  471. data/grammars/source.x10.json +1 -64
  472. data/grammars/source.x86.json +1 -299
  473. data/grammars/source.x86asm.json +1 -42
  474. data/grammars/source.xc.json +1 -371
  475. data/grammars/source.xlfd.json +1 -462
  476. data/grammars/source.xojo.json +1 -85
  477. data/grammars/source.xq.json +1 -230
  478. data/grammars/source.xtend.json +1 -724
  479. data/grammars/source.yacc.json +1 -626
  480. data/grammars/source.yaml.json +1 -443
  481. data/grammars/source.yaml.salt.json +1 -345
  482. data/grammars/source.yang.json +1 -78
  483. data/grammars/source.yara.json +1 -99
  484. data/grammars/source.yasnippet.json +1 -377
  485. data/grammars/source.zap.json +1 -260
  486. data/grammars/source.zeek.json +1 -412
  487. data/grammars/source.zenscript.json +1 -282
  488. data/grammars/source.zig.json +1 -547
  489. data/grammars/source.zil.json +1 -750
  490. data/grammars/text.bibtex.json +1 -246
  491. data/grammars/text.browserslist.json +1 -0
  492. data/grammars/text.cfml.basic.json +1 -735
  493. data/grammars/text.checksums.json +1 -0
  494. data/grammars/text.conllu.json +1 -45
  495. data/grammars/text.dfy.dafny.json +1 -188
  496. data/grammars/text.elixir.json +1 -52
  497. data/grammars/text.eml.basic.json +1 -296
  498. data/grammars/text.error-list.json +1 -53
  499. data/grammars/text.find-refs.json +1 -926
  500. data/grammars/text.gherkin.feature.json +1 -127
  501. data/grammars/text.haml.json +1 -518
  502. data/grammars/text.hamlc.json +1 -219
  503. data/grammars/text.html.asciidoc.json +1 -478
  504. data/grammars/text.html.asdoc.json +1 -529
  505. data/grammars/text.html.asp.json +1 -53
  506. data/grammars/text.html.basic.json +1 -908
  507. data/grammars/text.html.cfm.json +1 -802
  508. data/grammars/text.html.creole.json +1 -317
  509. data/grammars/text.html.cshtml.json +1 -356
  510. data/grammars/text.html.django.json +1 -63
  511. data/grammars/text.html.ecr.json +1 -48
  512. data/grammars/text.html.elixir.json +1 -21
  513. data/grammars/text.html.erb.json +1 -150
  514. data/grammars/text.html.erlang.yaws.json +1 -67
  515. data/grammars/text.html.factor.json +1 -19
  516. data/grammars/text.html.ftl.json +1 -76
  517. data/grammars/text.html.handlebars.json +1 -845
  518. data/grammars/text.html.javadoc.json +1 -426
  519. data/grammars/text.html.js.json +1 -24
  520. data/grammars/text.html.jsp.json +1 -1196
  521. data/grammars/text.html.liquid.json +1 -25
  522. data/grammars/text.html.mako.json +1 -726
  523. data/grammars/text.html.markdown.source.gfm.apib.json +1 -1298
  524. data/grammars/text.html.markdown.source.gfm.mson.json +1 -1156
  525. data/grammars/text.html.mediawiki.elm-build-output.json +1 -97
  526. data/grammars/text.html.mediawiki.elm-documentation.json +1 -17
  527. data/grammars/text.html.mediawiki.json +1 -946
  528. data/grammars/text.html.nunjucks.json +1 -0
  529. data/grammars/text.html.php.blade.json +1 -3833
  530. data/grammars/text.html.php.json +1 -2507
  531. data/grammars/text.html.riot.json +1 -788
  532. data/grammars/text.html.slash.json +1 -307
  533. data/grammars/text.html.smarty.json +1 -232
  534. data/grammars/text.html.soy.json +1 -606
  535. data/grammars/text.html.ssp.json +1 -43
  536. data/grammars/text.html.tcl.json +1 -37
  537. data/grammars/text.html.twig.json +1 -1344
  538. data/grammars/text.html.vue.json +1 -963
  539. data/grammars/text.idl-idldoc.json +1 -10
  540. data/grammars/text.jade.json +1 -963
  541. data/grammars/text.junit-test-report.json +1 -89
  542. data/grammars/text.log.latex.json +1 -84
  543. data/grammars/text.marko.json +1 -1662
  544. data/grammars/text.muse.json +1 -1471
  545. data/grammars/text.openbsd-pkg.desc.json +1 -78
  546. data/grammars/text.plain.json +1 -18
  547. data/grammars/text.python.console.json +1 -21
  548. data/grammars/text.python.traceback.json +1 -43
  549. data/grammars/text.rdoc.json +1 -44
  550. data/grammars/text.restructuredtext.clean.json +1 -24
  551. data/grammars/text.restructuredtext.json +1 -1765
  552. data/grammars/text.robot.json +1 -63
  553. data/grammars/text.roff.json +1 -6927
  554. data/grammars/text.rtf.json +1 -148
  555. data/grammars/text.runoff.json +1 -308
  556. data/grammars/text.sfd.json +1 -292
  557. data/grammars/text.shell-session.json +1 -29
  558. data/grammars/text.slim.json +1 -527
  559. data/grammars/text.srt.json +1 -166
  560. data/grammars/text.tex.json +1 -264
  561. data/grammars/text.tex.latex.beamer.json +1 -57
  562. data/grammars/text.tex.latex.haskell.json +1 -1826
  563. data/grammars/text.tex.latex.json +1 -1003
  564. data/grammars/text.tex.latex.memoir.json +1 -129
  565. data/grammars/text.tex.latex.rd.json +1 -111
  566. data/grammars/text.texinfo.json +1 -1525
  567. data/grammars/text.vim-help.json +1 -383
  568. data/grammars/text.xml.ant.json +1 -195
  569. data/grammars/text.xml.flex-config.json +1 -2628
  570. data/grammars/text.xml.genshi.json +1 -28
  571. data/grammars/text.xml.json +1 -351
  572. data/grammars/text.xml.plist.json +1 -664
  573. data/grammars/text.xml.pom.json +1 -769
  574. data/grammars/text.xml.svg.json +1 -562
  575. data/grammars/text.xml.xsl.json +1 -88
  576. data/grammars/text.zone_file.json +1 -67
  577. data/grammars/version +1 -1
  578. data/lib/linguist/VERSION +1 -1
  579. data/lib/linguist/classifier.rb +28 -17
  580. data/lib/linguist/generated.rb +23 -3
  581. data/lib/linguist/generic.yml +18 -0
  582. data/lib/linguist/heuristics.yml +57 -22
  583. data/lib/linguist/language.rb +4 -2
  584. data/lib/linguist/languages.json +1 -1
  585. data/lib/linguist/languages.yml +142 -25
  586. data/lib/linguist/linguist.bundle +0 -0
  587. data/lib/linguist/samples.json +1 -1
  588. data/lib/linguist/samples.rb +4 -4
  589. data/lib/linguist/{md5.rb → sha256.rb} +3 -3
  590. data/lib/linguist/strategy/extension.rb +13 -5
  591. data/lib/linguist/vendor.yml +1 -1
  592. metadata +23 -10
  593. data/ext/linguist/strndup.c +0 -10
  594. data/grammars/source.js.css.json +0 -328
  595. data/grammars/source.js.jsx.json +0 -2488
  596. data/grammars/source.perl6fe.json +0 -2769
  597. data/grammars/source.quoting.perl6fe.json +0 -2369
  598. data/grammars/source.regexp.perl6fe.json +0 -244
@@ -1,296 +1 @@
1
- {
2
- "name": "Verilog",
3
- "scopeName": "source.verilog",
4
- "patterns": [
5
- {
6
- "include": "#comments"
7
- },
8
- {
9
- "include": "#module_pattern"
10
- },
11
- {
12
- "include": "#keywords"
13
- },
14
- {
15
- "include": "#constants"
16
- },
17
- {
18
- "include": "#strings"
19
- },
20
- {
21
- "include": "#operators"
22
- }
23
- ],
24
- "repository": {
25
- "comments": {
26
- "patterns": [
27
- {
28
- "begin": "(^[ \\t]+)?(?=//)",
29
- "end": "(?!\\G)",
30
- "patterns": [
31
- {
32
- "name": "comment.line.double-slash.verilog",
33
- "begin": "//",
34
- "end": "\\n",
35
- "beginCaptures": {
36
- "0": {
37
- "name": "punctuation.definition.comment.verilog"
38
- }
39
- }
40
- }
41
- ],
42
- "beginCaptures": {
43
- "1": {
44
- "name": "punctuation.whitespace.comment.leading.verilog"
45
- }
46
- }
47
- },
48
- {
49
- "name": "comment.block.c-style.verilog",
50
- "begin": "/\\*",
51
- "end": "\\*/"
52
- }
53
- ]
54
- },
55
- "constants": {
56
- "patterns": [
57
- {
58
- "name": "constant.numeric.sized_integer.verilog",
59
- "match": "\\b[0-9]+'[bBoOdDhH][a-fA-F0-9_xXzZ]+\\b"
60
- },
61
- {
62
- "name": "meta.block.numeric.range.verilog",
63
- "match": "\\b(\\d+)(:)(\\d+)\\b",
64
- "captures": {
65
- "1": {
66
- "name": "constant.numeric.integer.verilog"
67
- },
68
- "2": {
69
- "name": "punctuation.separator.range.verilog"
70
- },
71
- "3": {
72
- "name": "constant.numeric.integer.verilog"
73
- }
74
- }
75
- },
76
- {
77
- "name": "constant.numeric.integer.verilog",
78
- "match": "\\b\\d+(?i:e\\d+)?\\b"
79
- },
80
- {
81
- "name": "constant.numeric.real.verilog",
82
- "match": "\\b\\d+\\.\\d+(?i:e\\d+)?\\b"
83
- },
84
- {
85
- "name": "constant.numeric.delay.verilog",
86
- "match": "#\\d+"
87
- },
88
- {
89
- "name": "constant.numeric.logic.verilog",
90
- "match": "\\b[01xXzZ]+\\b"
91
- }
92
- ]
93
- },
94
- "instantiation_patterns": {
95
- "patterns": [
96
- {
97
- "include": "#keywords"
98
- },
99
- {
100
- "name": "meta.block.instantiation.parameterless.verilog",
101
- "begin": "^\\s*([a-zA-Z][a-zA-Z0-9_]*)\\s+([a-zA-Z][a-zA-Z0-9_]*)(?\u003c!begin|if)\\s*(?=\\(|$)",
102
- "end": ";",
103
- "patterns": [
104
- {
105
- "include": "#comments"
106
- },
107
- {
108
- "include": "#constants"
109
- },
110
- {
111
- "include": "#strings"
112
- }
113
- ],
114
- "beginCaptures": {
115
- "1": {
116
- "name": "entity.name.tag.module.reference.verilog"
117
- },
118
- "2": {
119
- "name": "entity.name.tag.module.identifier.verilog"
120
- }
121
- },
122
- "endCaptures": {
123
- "0": {
124
- "name": "punctuation.terminator.expression.verilog"
125
- }
126
- }
127
- },
128
- {
129
- "name": "meta.block.instantiation.with.parameters.verilog",
130
- "begin": "^\\s*([a-zA-Z][a-zA-Z0-9_]*)\\s*(#)(?=\\s*\\()",
131
- "end": ";",
132
- "patterns": [
133
- {
134
- "include": "#parenthetical_list"
135
- },
136
- {
137
- "name": "entity.name.tag.module.identifier.verilog",
138
- "match": "[a-zA-Z][a-zA-Z0-9_]*"
139
- }
140
- ],
141
- "beginCaptures": {
142
- "1": {
143
- "name": "entity.name.tag.module.reference.verilog"
144
- }
145
- },
146
- "endCaptures": {
147
- "0": {
148
- "name": "punctuation.terminator.expression.verilog"
149
- }
150
- }
151
- }
152
- ]
153
- },
154
- "keywords": {
155
- "patterns": [
156
- {
157
- "name": "keyword.other.verilog",
158
- "match": "\\b(always|and|assign|attribute|begin|buf|bufif0|bufif1|case(xz)?|cmos|deassign|default|defparam|disable|edge|else|end(attribute|case|function|generate|module|primitive|specify|table|task)?|event|for|force|forever|fork|function|generate|genvar|highz(01)|if(none)?|initial|inout|input|integer|join|localparam|medium|module|large|macromodule|nand|negedge|nmos|nor|not|notif(01)|or|output|parameter|pmos|posedge|primitive|pull0|pull1|pulldown|pullup|rcmos|real|realtime|reg|release|repeat|rnmos|rpmos|rtran|rtranif(01)|scalared|signed|small|specify|specparam|strength|strong0|strong1|supply0|supply1|table|task|time|tran|tranif(01)|tri(01)?|tri(and|or|reg)|unsigned|vectored|wait|wand|weak(01)|while|wire|wor|xnor|xor)\\b"
159
- },
160
- {
161
- "name": "keyword.other.compiler.directive.verilog",
162
- "match": "^\\s*`((cell)?define|default_(decay_time|nettype|trireg_strength)|delay_mode_(path|unit|zero)|ifdef|include|end(if|celldefine)|else|(no)?unconnected_drive|resetall|timescale|undef)\\b"
163
- },
164
- {
165
- "name": "support.function.system.console.tasks.verilog",
166
- "match": "\\$(f(open|close)|readmem(b|h)|timeformat|printtimescale|stop|finish|(s|real)?time|realtobits|bitstoreal|rtoi|itor|(f)?(display|write(h|b)))\\b"
167
- },
168
- {
169
- "name": "support.function.system.random_number.tasks.verilog",
170
- "match": "\\$(random|dist_(chi_square|erlang|exponential|normal|poisson|t|uniform))\\b"
171
- },
172
- {
173
- "name": "support.function.system.pld_modeling.tasks.verilog",
174
- "match": "\\$((a)?sync\\$((n)?and|(n)or)\\$(array|plane))\\b"
175
- },
176
- {
177
- "name": "support.function.system.stochastic.tasks.verilog",
178
- "match": "\\$(q_(initialize|add|remove|full|exam))\\b"
179
- },
180
- {
181
- "name": "support.function.system.timing.tasks.verilog",
182
- "match": "\\$(hold|nochange|period|recovery|setup(hold)?|skew|width)\\b"
183
- },
184
- {
185
- "name": "support.function.system.vcd.tasks.verilog",
186
- "match": "\\$(dump(file|vars|off|on|all|limit|flush))\\b"
187
- },
188
- {
189
- "name": "support.function.non-standard.tasks.verilog",
190
- "match": "\\$(countdrivers|list|input|scope|showscopes|(no)?(key|log)|reset(_count|_value)?|(inc)?save|restart|showvars|getpattern|sreadmem(b|h)|scale)"
191
- }
192
- ]
193
- },
194
- "module_pattern": {
195
- "patterns": [
196
- {
197
- "name": "meta.block.module.verilog",
198
- "begin": "\\b(module)\\s+([a-zA-Z][a-zA-Z0-9_]*)",
199
- "end": "\\bendmodule\\b",
200
- "patterns": [
201
- {
202
- "include": "#comments"
203
- },
204
- {
205
- "include": "#keywords"
206
- },
207
- {
208
- "include": "#constants"
209
- },
210
- {
211
- "include": "#strings"
212
- },
213
- {
214
- "include": "#instantiation_patterns"
215
- },
216
- {
217
- "include": "#operators"
218
- }
219
- ],
220
- "beginCaptures": {
221
- "1": {
222
- "name": "storage.type.module.verilog"
223
- },
224
- "2": {
225
- "name": "entity.name.type.module.verilog"
226
- }
227
- },
228
- "endCaptures": {
229
- "0": {
230
- "name": "storage.type.module.verilog"
231
- }
232
- }
233
- }
234
- ]
235
- },
236
- "operators": {
237
- "patterns": [
238
- {
239
- "name": "keyword.operator.verilog",
240
- "match": "\\+|-|\\*|/|%|(\u003c|\u003e)=?|(!|=)?==?|!|\u0026\u0026?|\\|\\|?|\\^?~|~\\^?"
241
- }
242
- ]
243
- },
244
- "parenthetical_list": {
245
- "patterns": [
246
- {
247
- "name": "meta.block.parenthetical_list.verilog",
248
- "begin": "\\(",
249
- "end": "\\)",
250
- "patterns": [
251
- {
252
- "include": "#parenthetical_list"
253
- },
254
- {
255
- "include": "#comments"
256
- },
257
- {
258
- "include": "#keywords"
259
- },
260
- {
261
- "include": "#constants"
262
- },
263
- {
264
- "include": "#strings"
265
- }
266
- ],
267
- "beginCaptures": {
268
- "0": {
269
- "name": "punctuation.section.list.verilog"
270
- }
271
- },
272
- "endCaptures": {
273
- "0": {
274
- "name": "punctuation.section.list.verilog"
275
- }
276
- }
277
- }
278
- ]
279
- },
280
- "strings": {
281
- "patterns": [
282
- {
283
- "name": "string.quoted.double.verilog",
284
- "begin": "\"",
285
- "end": "\"",
286
- "patterns": [
287
- {
288
- "name": "constant.character.escape.verilog",
289
- "match": "\\\\."
290
- }
291
- ]
292
- }
293
- ]
294
- }
295
- }
296
- }
1
+ {"name":"Verilog","scopeName":"source.verilog","patterns":[{"include":"#comments"},{"include":"#module_pattern"},{"include":"#keywords"},{"include":"#constants"},{"include":"#strings"},{"include":"#operators"}],"repository":{"comments":{"patterns":[{"begin":"(^[ \\t]+)?(?=//)","end":"(?!\\G)","patterns":[{"name":"comment.line.double-slash.verilog","begin":"//","end":"\\n","beginCaptures":{"0":{"name":"punctuation.definition.comment.verilog"}}}],"beginCaptures":{"1":{"name":"punctuation.whitespace.comment.leading.verilog"}}},{"name":"comment.block.c-style.verilog","begin":"/\\*","end":"\\*/"}]},"constants":{"patterns":[{"name":"constant.numeric.sized_integer.verilog","match":"\\b[0-9]+'[bBoOdDhH][a-fA-F0-9_xXzZ]+\\b"},{"name":"meta.block.numeric.range.verilog","match":"\\b(\\d+)(:)(\\d+)\\b","captures":{"1":{"name":"constant.numeric.integer.verilog"},"2":{"name":"punctuation.separator.range.verilog"},"3":{"name":"constant.numeric.integer.verilog"}}},{"name":"constant.numeric.integer.verilog","match":"\\b\\d+(?i:e\\d+)?\\b"},{"name":"constant.numeric.real.verilog","match":"\\b\\d+\\.\\d+(?i:e\\d+)?\\b"},{"name":"constant.numeric.delay.verilog","match":"#\\d+"},{"name":"constant.numeric.logic.verilog","match":"\\b[01xXzZ]+\\b"}]},"instantiation_patterns":{"patterns":[{"include":"#keywords"},{"name":"meta.block.instantiation.parameterless.verilog","begin":"^\\s*([a-zA-Z][a-zA-Z0-9_]*)\\s+([a-zA-Z][a-zA-Z0-9_]*)(?\u003c!begin|if)\\s*(?=\\(|$)","end":";","patterns":[{"include":"#comments"},{"include":"#constants"},{"include":"#strings"}],"beginCaptures":{"1":{"name":"entity.name.tag.module.reference.verilog"},"2":{"name":"entity.name.tag.module.identifier.verilog"}},"endCaptures":{"0":{"name":"punctuation.terminator.expression.verilog"}}},{"name":"meta.block.instantiation.with.parameters.verilog","begin":"^\\s*([a-zA-Z][a-zA-Z0-9_]*)\\s*(#)(?=\\s*\\()","end":";","patterns":[{"include":"#parenthetical_list"},{"name":"entity.name.tag.module.identifier.verilog","match":"[a-zA-Z][a-zA-Z0-9_]*"}],"beginCaptures":{"1":{"name":"entity.name.tag.module.reference.verilog"}},"endCaptures":{"0":{"name":"punctuation.terminator.expression.verilog"}}}]},"keywords":{"patterns":[{"name":"keyword.other.verilog","match":"\\b(always|and|assign|attribute|begin|buf|bufif0|bufif1|case(xz)?|cmos|deassign|default|defparam|disable|edge|else|end(attribute|case|function|generate|module|primitive|specify|table|task)?|event|for|force|forever|fork|function|generate|genvar|highz(01)|if(none)?|initial|inout|input|integer|join|localparam|medium|module|large|macromodule|nand|negedge|nmos|nor|not|notif(01)|or|output|parameter|pmos|posedge|primitive|pull0|pull1|pulldown|pullup|rcmos|real|realtime|reg|release|repeat|rnmos|rpmos|rtran|rtranif(01)|scalared|signed|small|specify|specparam|strength|strong0|strong1|supply0|supply1|table|task|time|tran|tranif(01)|tri(01)?|tri(and|or|reg)|unsigned|vectored|wait|wand|weak(01)|while|wire|wor|xnor|xor)\\b"},{"name":"keyword.other.compiler.directive.verilog","match":"^\\s*`((cell)?define|default_(decay_time|nettype|trireg_strength)|delay_mode_(path|unit|zero)|ifdef|include|end(if|celldefine)|else|(no)?unconnected_drive|resetall|timescale|undef)\\b"},{"name":"support.function.system.console.tasks.verilog","match":"\\$(f(open|close)|readmem(b|h)|timeformat|printtimescale|stop|finish|(s|real)?time|realtobits|bitstoreal|rtoi|itor|(f)?(display|write(h|b)))\\b"},{"name":"support.function.system.random_number.tasks.verilog","match":"\\$(random|dist_(chi_square|erlang|exponential|normal|poisson|t|uniform))\\b"},{"name":"support.function.system.pld_modeling.tasks.verilog","match":"\\$((a)?sync\\$((n)?and|(n)or)\\$(array|plane))\\b"},{"name":"support.function.system.stochastic.tasks.verilog","match":"\\$(q_(initialize|add|remove|full|exam))\\b"},{"name":"support.function.system.timing.tasks.verilog","match":"\\$(hold|nochange|period|recovery|setup(hold)?|skew|width)\\b"},{"name":"support.function.system.vcd.tasks.verilog","match":"\\$(dump(file|vars|off|on|all|limit|flush))\\b"},{"name":"support.function.non-standard.tasks.verilog","match":"\\$(countdrivers|list|input|scope|showscopes|(no)?(key|log)|reset(_count|_value)?|(inc)?save|restart|showvars|getpattern|sreadmem(b|h)|scale)"}]},"module_pattern":{"patterns":[{"name":"meta.block.module.verilog","begin":"\\b(module)\\s+([a-zA-Z][a-zA-Z0-9_]*)","end":"\\bendmodule\\b","patterns":[{"include":"#comments"},{"include":"#keywords"},{"include":"#constants"},{"include":"#strings"},{"include":"#instantiation_patterns"},{"include":"#operators"}],"beginCaptures":{"1":{"name":"storage.type.module.verilog"},"2":{"name":"entity.name.type.module.verilog"}},"endCaptures":{"0":{"name":"storage.type.module.verilog"}}}]},"operators":{"patterns":[{"name":"keyword.operator.verilog","match":"\\+|-|\\*|/|%|(\u003c|\u003e)=?|(!|=)?==?|!|\u0026\u0026?|\\|\\|?|\\^?~|~\\^?"}]},"parenthetical_list":{"patterns":[{"name":"meta.block.parenthetical_list.verilog","begin":"\\(","end":"\\)","patterns":[{"include":"#parenthetical_list"},{"include":"#comments"},{"include":"#keywords"},{"include":"#constants"},{"include":"#strings"}],"beginCaptures":{"0":{"name":"punctuation.section.list.verilog"}},"endCaptures":{"0":{"name":"punctuation.section.list.verilog"}}}]},"strings":{"patterns":[{"name":"string.quoted.double.verilog","begin":"\"","end":"\"","patterns":[{"name":"constant.character.escape.verilog","match":"\\\\."}]}]}}}
@@ -1,1374 +1 @@
1
- {
2
- "name": "VHDL",
3
- "scopeName": "source.vhdl",
4
- "patterns": [
5
- {
6
- "include": "#block_processing"
7
- },
8
- {
9
- "include": "#cleanup"
10
- }
11
- ],
12
- "repository": {
13
- "architecture_pattern": {
14
- "patterns": [
15
- {
16
- "name": "meta.block.architecture",
17
- "begin": "(?x)\n\n\t\t\t\t\t\t# The word architecture $1\n\t\t\t\t\t\t\\b((?i:architecture))\\s+\n\t\t\t\t\t\t\n\t\t\t\t\t\t# Followed up by a valid $3 or invalid identifier $4\n\t\t\t\t\t\t(([a-zA-z][a-zA-z0-9_]*)|(.+))(?=\\s)\\s+\n\n\t\t\t\t\t\t# The word of $5\n\t\t\t\t\t\t((?i:of))\\s+\n\n\t\t\t\t\t\t# Followed by a valid $7 or invalid identifier $8\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))(?=\\s*(?i:is))\\b\n\t\t\t\t\t",
18
- "end": "(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end))\n\n\t\t\t\t\t\t# Optional word architecture $3\n\t\t\t\t\t\t(\\s+((?i:architecture)))?\n\n\t\t\t\t\t\t# Optional same identifier $6 or illegal identifier $7\n\t\t\t\t\t\t(\\s+((\\3)|(.+?)))?\n\n\t\t\t\t\t\t# This will cause the previous to capture until just before the ; or $\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t",
19
- "patterns": [
20
- {
21
- "include": "#function_definition_pattern"
22
- },
23
- {
24
- "include": "#procedure_definition_pattern"
25
- },
26
- {
27
- "include": "#component_pattern"
28
- },
29
- {
30
- "include": "#if_pattern"
31
- },
32
- {
33
- "include": "#process_pattern"
34
- },
35
- {
36
- "include": "#type_pattern"
37
- },
38
- {
39
- "include": "#record_pattern"
40
- },
41
- {
42
- "include": "#for_pattern"
43
- },
44
- {
45
- "include": "#entity_instantiation_pattern"
46
- },
47
- {
48
- "include": "#component_instantiation_pattern"
49
- },
50
- {
51
- "include": "#cleanup"
52
- }
53
- ],
54
- "beginCaptures": {
55
- "1": {
56
- "name": "storage.type.architecture.vhdl"
57
- },
58
- "3": {
59
- "name": "entity.name.type.architecture.begin.vhdl"
60
- },
61
- "4": {
62
- "name": "invalid.illegal.invalid.identifier.vhdl"
63
- },
64
- "5": {
65
- "name": "keyword.control.of.vhdl"
66
- },
67
- "7": {
68
- "name": "entity.name.type.entity.reference.vhdl"
69
- },
70
- "8": {
71
- "name": "invalid.illegal.invalid.identifier.vhdl"
72
- }
73
- },
74
- "endCaptures": {
75
- "1": {
76
- "name": "keyword.control.end.vhdl"
77
- },
78
- "3": {
79
- "name": "storage.type.architecture.vhdl"
80
- },
81
- "6": {
82
- "name": "entity.name.type.architecture.end.vhdl"
83
- },
84
- "7": {
85
- "name": "invalid.illegal.mismatched.identifier.vhdl"
86
- }
87
- }
88
- }
89
- ]
90
- },
91
- "attribute_list": {
92
- "patterns": [
93
- {
94
- "name": "meta.block.attribute_list",
95
- "begin": "'\\(",
96
- "end": "\\)",
97
- "patterns": [
98
- {
99
- "include": "#parenthetical_list"
100
- },
101
- {
102
- "include": "#cleanup"
103
- }
104
- ],
105
- "beginCaptures": {
106
- "0": {
107
- "name": "punctuation.definition.attribute_list.begin.vhdl"
108
- }
109
- },
110
- "endCaptures": {
111
- "0": {
112
- "name": "punctuation.definition.attribute_list.end.vhdl"
113
- }
114
- }
115
- }
116
- ]
117
- },
118
- "block_processing": {
119
- "patterns": [
120
- {
121
- "include": "#package_pattern"
122
- },
123
- {
124
- "include": "#package_body_pattern"
125
- },
126
- {
127
- "include": "#entity_pattern"
128
- },
129
- {
130
- "include": "#architecture_pattern"
131
- }
132
- ]
133
- },
134
- "case_pattern": {
135
- "patterns": [
136
- {
137
- "name": "meta.block.case.vhdl",
138
- "begin": "(?x)\n\t\t\t\t\t\t# Beginning of line ...\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# Optional identifier ... $3 or invalid identifier $4\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t(\n\t\t\t\t\t\t\t\t ([a-zA-Z][a-zA-Z0-9_]*)\n\t\t\t\t\t\t\t\t|(.+?)\n\t\t\t\t\t\t\t)\n\t\t\t\t\t\t\t\\s*:\\s*\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# The word case $5\n\t\t\t\t\t\t\\b((?i:case))\\b\n\t\t\t\t\t",
139
- "end": "(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end))\\s*\n\n\t\t\t\t\t\t# The word case $4 or invalid word $5\n\t\t\t\t\t\t(\\s+(((?i:case))|(.*?)))\n\n\t\t\t\t\t\t# Optional identifier from before $8 or illegal $9\n\t\t\t\t\t\t(\\s+((\\2)|(.*?)))?\n\n\t\t\t\t\t\t# Ending with a semicolon\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t",
140
- "patterns": [
141
- {
142
- "include": "#control_patterns"
143
- },
144
- {
145
- "include": "#cleanup"
146
- }
147
- ],
148
- "beginCaptures": {
149
- "3": {
150
- "name": "entity.name.tag.case.begin.vhdl"
151
- },
152
- "4": {
153
- "name": "invalid.illegal.invalid.identifier.vhdl"
154
- },
155
- "5": {
156
- "name": "keyword.control.case.vhdl"
157
- }
158
- },
159
- "endCaptures": {
160
- "1": {
161
- "name": "keyword.control.end.vhdl"
162
- },
163
- "4": {
164
- "name": "keyword.control.case.vhdl"
165
- },
166
- "5": {
167
- "name": "invalid.illegal.case.required.vhdl"
168
- },
169
- "8": {
170
- "name": "entity.name.tag.case.end.vhdl"
171
- },
172
- "9": {
173
- "name": "invalid.illegal.mismatched.identifier.vhdl"
174
- }
175
- }
176
- }
177
- ]
178
- },
179
- "cleanup": {
180
- "patterns": [
181
- {
182
- "include": "#comments"
183
- },
184
- {
185
- "include": "#constants_numeric"
186
- },
187
- {
188
- "include": "#strings"
189
- },
190
- {
191
- "include": "#attribute_list"
192
- },
193
- {
194
- "include": "#syntax_highlighting"
195
- }
196
- ]
197
- },
198
- "comments": {
199
- "patterns": [
200
- {
201
- "begin": "(^[ \\t]+)?(?=--)",
202
- "end": "(?!\\G)",
203
- "patterns": [
204
- {
205
- "name": "comment.line.double-dash.vhdl",
206
- "begin": "--",
207
- "end": "\\n",
208
- "beginCaptures": {
209
- "0": {
210
- "name": "punctuation.definition.comment.vhdl"
211
- }
212
- }
213
- }
214
- ],
215
- "beginCaptures": {
216
- "1": {
217
- "name": "punctuation.whitespace.comment.leading.vhdl"
218
- }
219
- }
220
- }
221
- ]
222
- },
223
- "component_instantiation_pattern": {
224
- "patterns": [
225
- {
226
- "name": "meta.block.component_instantiation.vhdl",
227
- "begin": "(?x)\n\t\t\t\t\t\t# From the beginning of the line ...\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# Match a valid identifier $1\n\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\n\n\t\t\t\t\t\t# Colon! $2\n\t\t\t\t\t\t\\s*(:)\\s*\n\n\t\t\t\t\t\t# Another valid identifier $3\n\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\\b\n\n\t\t\t\t\t\t# Make sure we are just the other word, or the beginning of\n\t\t\t\t\t\t# a generic or port mapping\n\t\t\t\t\t\t(?=\\s*($|generic|port))\n\t\t\t\t\t",
228
- "end": ";",
229
- "patterns": [
230
- {
231
- "include": "#parenthetical_list"
232
- },
233
- {
234
- "include": "#cleanup"
235
- }
236
- ],
237
- "beginCaptures": {
238
- "1": {
239
- "name": "entity.name.section.component_instantiation.vhdl"
240
- },
241
- "2": {
242
- "name": "punctuation.separator.vhdl"
243
- },
244
- "3": {
245
- "name": "entity.name.tag.component.reference.vhdl"
246
- }
247
- },
248
- "endCaptures": {
249
- "0": {
250
- "name": "punctuation.terminator.statement.vhdl"
251
- }
252
- }
253
- }
254
- ]
255
- },
256
- "component_pattern": {
257
- "patterns": [
258
- {
259
- "name": "meta.block.component.vhdl",
260
- "begin": "(?x)\n\t\t\t\t\t\t# From the beginning of the line ...\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word component $1\n\t\t\t\t\t\t\\b((?i:component))\\s+\n\n\t\t\t\t\t\t# A valid identifier $3 or invalid identifier $4\n\t\t\t\t\t\t(([a-zA-Z_][a-zA-Z0-9_]*)\\s*|(.+?))(?=\\b(?i:is|port)\\b|$|--)\n\n\t\t\t\t\t\t# Optional word is $6\n\t\t\t\t\t\t(\\b((?i:is\\b)))?\n\t\t\t\t\t",
261
- "end": "(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?:end))\\s+\n\n\t\t\t\t\t\t# The word component $3 or illegal word $4\n\t\t\t\t\t\t(((?i:component\\b))|(.+?))(?=\\s*|;)\n\t\t\t\t\t\t\n\t\t\t\t\t\t# Optional identifier $7 or illegal mismatched $8\n\t\t\t\t\t\t(\\s+((\\3)|(.+?)))?(?=\\s*;)\n\t\t\t\t\t",
262
- "patterns": [
263
- {
264
- "include": "#generic_list_pattern"
265
- },
266
- {
267
- "include": "#port_list_pattern"
268
- },
269
- {
270
- "include": "#comments"
271
- }
272
- ],
273
- "beginCaptures": {
274
- "1": {
275
- "name": "storage.type.component.vhdl"
276
- },
277
- "3": {
278
- "name": "entity.name.type.component.begin.vhdl"
279
- },
280
- "4": {
281
- "name": "invalid.illegal.invalid.identifier.vhdl"
282
- },
283
- "6": {
284
- "name": "keyword.control.is.vhdl"
285
- }
286
- },
287
- "endCaptures": {
288
- "1": {
289
- "name": "keyword.control.end.vhdl"
290
- },
291
- "3": {
292
- "name": "storage.type.component.vhdl"
293
- },
294
- "4": {
295
- "name": "invalid.illegal.component.keyword.required.vhdl"
296
- },
297
- "7": {
298
- "name": "entity.name.type.component.end.vhdl"
299
- },
300
- "8": {
301
- "name": "invalid.illegal.mismatched.identifier.vhdl"
302
- }
303
- }
304
- }
305
- ]
306
- },
307
- "constants_numeric": {
308
- "patterns": [
309
- {
310
- "name": "constant.numeric.floating_point.vhdl",
311
- "match": "\\b([+\\-]?[\\d_]+\\.[\\d_]+([eE][+\\-]?[\\d_]+)?)\\b"
312
- },
313
- {
314
- "name": "constant.numeric.base_pound_number_pound.vhdl",
315
- "match": "\\b\\d+#[0-9A-Fa-f_]+#"
316
- },
317
- {
318
- "name": "constant.numeric.integer.vhdl",
319
- "match": "\\b[\\d_]+([eE][\\d_]+)?\\b"
320
- },
321
- {
322
- "name": "constant.numeric.quoted.double.string.hex.vhdl",
323
- "match": "[xX]\"[0-9a-fA-F_uUxXzZwWlLhH\\-]+\""
324
- },
325
- {
326
- "name": "constant.numeric.quoted.double.string.octal.vhdl",
327
- "match": "[oO]\"[0-7_uUxXzZwWlLhH\\-]+\""
328
- },
329
- {
330
- "name": "constant.numeric.quoted.double.string.binary.vhdl",
331
- "match": "[bB]?\"[01_uUxXzZwWlLhH\\-]+\""
332
- },
333
- {
334
- "name": "constant.numeric.quoted.double.string.illegal.vhdl",
335
- "match": "([bBoOxX]\".+?\")",
336
- "captures": {
337
- "1": {
338
- "name": "invalid.illegal.quoted.double.string.vhdl"
339
- }
340
- }
341
- },
342
- {
343
- "name": "constant.numeric.quoted.single.std_logic",
344
- "match": "'[01uUxXzZwWlLhH\\-]'"
345
- }
346
- ]
347
- },
348
- "control_patterns": {
349
- "patterns": [
350
- {
351
- "include": "#case_pattern"
352
- },
353
- {
354
- "include": "#if_pattern"
355
- },
356
- {
357
- "include": "#for_pattern"
358
- },
359
- {
360
- "include": "#while_pattern"
361
- }
362
- ]
363
- },
364
- "entity_instantiation_pattern": {
365
- "patterns": [
366
- {
367
- "name": "meta.block.entity_instantiation.vhdl",
368
- "begin": "(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# Component identifier or illegal identifier $1\n\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\n\n\t\t\t\t\t\t# Colon! $2\n\t\t\t\t\t\t\\s*(:)\\s*\n\n\t\t\t\t\t\t# Optional word use $4\n\t\t\t\t\t\t(((?i:use))\\s+)?\n\n\t\t\t\t\t\t# Required word entity $5\n\t\t\t\t\t\t((?i:entity))\\s+\n\n\t\t\t\t\t\t# Optional library unit identifier $8 for invalid identifier $9 followed by a dot $10\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))\n\t\t\t\t\t\t\t(\\.)\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# Entity name reference $12 or illegal identifier $13\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))\n\n\t\t\t\t\t\t# Check to see if we are being followed by either open paren, end of line, or port or generic words\n\t\t\t\t\t\t(?=\\s*(\\(|$|(?i:port|generic)))\n\n\t\t\t\t\t\t# Optional architecture elaboration\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Open paren $16\n\t\t\t\t\t\t\t\\s*(\\()\\s*\n\n\t\t\t\t\t\t\t# Arch identifier $18 or invalid identifier $19\n\t\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))(?=\\s*\\))\n\n\t\t\t\t\t\t\t# Close paren $21\n\t\t\t\t\t\t\t\\s*(\\))\n\t\t\t\t\t\t)?\n\t\t\t\t\t",
369
- "end": ";",
370
- "patterns": [
371
- {
372
- "include": "#parenthetical_list"
373
- },
374
- {
375
- "include": "#cleanup"
376
- }
377
- ],
378
- "beginCaptures": {
379
- "1": {
380
- "name": "entity.name.section.entity_instantiation.vhdl"
381
- },
382
- "10": {
383
- "name": "punctuation.separator.vhdl"
384
- },
385
- "12": {
386
- "name": "entity.name.tag.entity.reference.vhdl"
387
- },
388
- "13": {
389
- "name": "invalid.illegal.invalid.identifier.vhdl"
390
- },
391
- "16": {
392
- "name": "punctuation.definition.arguments.begin.vhdl"
393
- },
394
- "18": {
395
- "name": "entity.name.tag.architecture.reference.vhdl"
396
- },
397
- "19": {
398
- "name": "invalid.illegal.invalid.identifier.vhdl"
399
- },
400
- "2": {
401
- "name": "punctuation.separator.vhdl"
402
- },
403
- "21": {
404
- "name": "punctuation.definition.arguments.end.vhdl"
405
- },
406
- "4": {
407
- "name": "keyword.control.use.vhdl"
408
- },
409
- "5": {
410
- "name": "keyword.control.entity.vhdl"
411
- },
412
- "8": {
413
- "name": "entity.name.tag.library.reference.vhdl"
414
- },
415
- "9": {
416
- "name": "invalid.illegal.invalid.identifier.vhdl"
417
- }
418
- },
419
- "endCaptures": {
420
- "0": {
421
- "name": "punctuation.terminator.statement.vhdl"
422
- }
423
- }
424
- }
425
- ]
426
- },
427
- "entity_pattern": {
428
- "patterns": [
429
- {
430
- "name": "meta.block.entity.vhdl",
431
- "begin": "(?x)\n\t\t\t\t\t\t# From the beginning of the line ...\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word entity $1\n\t\t\t\t\t\t((?i:entity\\b))\\s+\n\n\t\t\t\t\t\t# The identifier $3 or an invalid identifier $4\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z\\d_]*)|(.+?))(?=\\s)\n\t\t\t\t\t",
432
- "end": "(?x)\n\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end\\b))\n\n\t\t\t\t\t\t# Optional word entity $3\n\t\t\t\t\t\t(\\s+((?i:entity)))?\n\n\t\t\t\t\t\t# Optional identifier match $6 or indentifier mismatch $7\n\t\t\t\t\t\t(\\s+((\\3)|(.+?)))?\n\t\t\t\t\t\t\n\t\t\t\t\t\t# Make sure there is a semicolon following\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t",
433
- "patterns": [
434
- {
435
- "include": "#comments"
436
- },
437
- {
438
- "include": "#generic_list_pattern"
439
- },
440
- {
441
- "include": "#port_list_pattern"
442
- },
443
- {
444
- "include": "#cleanup"
445
- }
446
- ],
447
- "beginCaptures": {
448
- "1": {
449
- "name": "storage.type.entity.vhdl"
450
- },
451
- "3": {
452
- "name": "entity.name.type.entity.begin.vhdl"
453
- },
454
- "4": {
455
- "name": "invalid.illegal.invalid.identifier.vhdl"
456
- }
457
- },
458
- "endCaptures": {
459
- "1": {
460
- "name": "keyword.control.end.vhdl"
461
- },
462
- "3": {
463
- "name": "storage.type.entity.vhdl"
464
- },
465
- "6": {
466
- "name": "entity.name.type.entity.end.vhdl"
467
- },
468
- "7": {
469
- "name": "invalid.illegal.mismatched.identifier.vhdl"
470
- }
471
- }
472
- }
473
- ]
474
- },
475
- "for_pattern": {
476
- "patterns": [
477
- {
478
- "name": "meta.block.for.vhdl",
479
- "begin": "(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Check for an identifier $2\n\t\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\n\n\t\t\t\t\t\t\t# Followed by a colon $3\n\t\t\t\t\t\t\t\\s*(:)\\s*\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# Make sure the next word is not wait\n\t\t\t\t\t\t(?!(?i:wait\\s*))\n\n\t\t\t\t\t\t# The for keyword $4\n\t\t\t\t\t\t\\b((?i:for))\\b\n\n\t\t\t\t\t\t# Make sure the next word is not all\n\t\t\t\t\t\t(?!\\s*(?i:all))\n\n\t\t\t\t\t",
480
- "end": "(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end))\\s+\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Followed by generate or loop $3\n\t\t\t\t\t\t\t ((?i:generate|loop))\n\n\t\t\t\t\t\t\t# But it really is required $4\n\t\t\t\t\t\t\t|(\\S+)\n\t\t\t\t\t\t)\\b\n\n\t\t\t\t\t\t# The matching identifier $7 or an invalid identifier $8\n\t\t\t\t\t\t(\\s+((\\2)|(.+?)))?\n\n\t\t\t\t\t\t# Only space and a semicolon left\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t",
481
- "patterns": [
482
- {
483
- "include": "#control_patterns"
484
- },
485
- {
486
- "include": "#entity_instantiation_pattern"
487
- },
488
- {
489
- "include": "#component_pattern"
490
- },
491
- {
492
- "include": "#component_instantiation_pattern"
493
- },
494
- {
495
- "include": "#process_pattern"
496
- },
497
- {
498
- "include": "#cleanup"
499
- }
500
- ],
501
- "beginCaptures": {
502
- "2": {
503
- "name": "entity.name.tag.for.generate.begin.vhdl"
504
- },
505
- "3": {
506
- "name": "punctuation.separator.vhdl"
507
- },
508
- "4": {
509
- "name": "keyword.control.for.vhdl"
510
- }
511
- },
512
- "endCaptures": {
513
- "1": {
514
- "name": "keyword.control.end.vhdl"
515
- },
516
- "3": {
517
- "name": "keyword.control.vhdl"
518
- },
519
- "4": {
520
- "name": "invalid.illegal.loop.or.generate.required.vhdl"
521
- },
522
- "7": {
523
- "name": "entity.name.tag.for.generate.end.vhdl"
524
- },
525
- "8": {
526
- "name": "invalid.illegal.mismatched.identifier.vhdl"
527
- }
528
- }
529
- }
530
- ]
531
- },
532
- "function_definition_pattern": {
533
- "patterns": [
534
- {
535
- "name": "meta.block.function_definition.vhdl",
536
- "begin": "(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word function $1\n\t\t\t\t\t\t((?i:function))\\s+\n\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# A valid normal identifier $3\n\t\t\t\t\t\t\t ([a-zA-Z][a-zA-Z\\d_]*)\n\t\t\t\t\t\t\t# A valid string quoted identifier $4\n\t\t\t\t\t\t\t|(\"\\S+\")\n\t\t\t\t\t\t\t# A valid backslash escaped identifier $5\n\t\t\t\t\t\t\t|(\\\\.+\\\\)\n\t\t\t\t\t\t\t# An invalid identifier $5\n\t\t\t\t\t\t\t|(.+?)\n\t\t\t\t\t\t)\n\n\t\t\t\t\t\t# Check to make sure we have a list or we return\n\t\t\t\t\t\t(?=\\s*\n\t\t\t\t\t\t\t(\n\t\t\t\t\t\t\t\t \\(\n\t\t\t\t\t\t\t\t|(?i:\\breturn\\b)\n\t\t\t\t\t\t\t)\n\t\t\t\t\t\t)\n\t\t\t\t\t",
537
- "end": "(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t((?i:end))\n\n\t\t\t\t\t\t# Optional word function $3\n\t\t\t\t\t\t(\\s+((?i:function)))?\n\n\t\t\t\t\t\t# Optional matched identifier $6 or mismatched identifier $7\n\t\t\t\t\t\t(\\s+((\\3|\\4|\\5)|(.+?)))?\n\n\t\t\t\t\t\t# Ending with whitespace and semicolon\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t",
538
- "patterns": [
539
- {
540
- "include": "#control_patterns"
541
- },
542
- {
543
- "include": "#parenthetical_list"
544
- },
545
- {
546
- "include": "#type_pattern"
547
- },
548
- {
549
- "include": "#record_pattern"
550
- },
551
- {
552
- "include": "#cleanup"
553
- }
554
- ],
555
- "beginCaptures": {
556
- "1": {
557
- "name": "storage.type.function.vhdl"
558
- },
559
- "3": {
560
- "name": "entity.name.function.function.begin.vhdl"
561
- },
562
- "4": {
563
- "name": "entity.name.function.function.begin.vhdl"
564
- },
565
- "5": {
566
- "name": "entity.name.function.function.begin.vhdl"
567
- },
568
- "6": {
569
- "name": "invalid.illegal.invalid.identifier.vhdl"
570
- }
571
- },
572
- "endCaptures": {
573
- "1": {
574
- "name": "keyword.control.end.vhdl"
575
- },
576
- "3": {
577
- "name": "storage.type.function.vhdl"
578
- },
579
- "6": {
580
- "name": "entity.name.function.function.end.vhdl"
581
- },
582
- "7": {
583
- "name": "invalid.illegal.mismatched.identifier.vhdl"
584
- }
585
- }
586
- }
587
- ]
588
- },
589
- "function_prototype_pattern": {
590
- "patterns": [
591
- {
592
- "name": "meta.block.function_prototype.vhdl",
593
- "begin": "(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word function $1\n\t\t\t\t\t\t((?i:function))\\s+\n\n\t\t\t\t\t\t\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# A valid normal identifier $3\n\t\t\t\t\t\t\t ([a-zA-Z][a-zA-Z\\d_]*)\n\t\t\t\t\t\t\t# A valid quoted identifier $4\n\t\t\t\t\t\t\t|(\"\\S+\")\n\t\t\t\t\t\t\t# A valid backslash escaped identifier $5\n\t\t\t\t\t\t\t|(\\\\.+\\\\)\n\t\t\t\t\t\t\t# An invalid identifier $6\n\t\t\t\t\t\t\t|(.+?)\n\t\t\t\t\t\t)\n\n\t\t\t\t\t\t# Check to make sure we have a list or we return\n\t\t\t\t\t\t(?=\\s*\n\t\t\t\t\t\t\t(\n\t\t\t\t\t\t\t\t \\(\n\t\t\t\t\t\t\t\t|(?i:\\breturn\\b)\n\t\t\t\t\t\t\t)\n\t\t\t\t\t\t)\n\t\t\t\t\t",
594
- "end": "(?\u003c=;)",
595
- "patterns": [
596
- {
597
- "begin": "\\b(?i:return)(?=\\s+[^;]+\\s*;)",
598
- "end": "\\;",
599
- "patterns": [
600
- {
601
- "include": "#parenthetical_list"
602
- },
603
- {
604
- "include": "#cleanup"
605
- }
606
- ],
607
- "beginCaptures": {
608
- "0": {
609
- "name": "keyword.control.return.vhdl"
610
- }
611
- },
612
- "endCaptures": {
613
- "0": {
614
- "name": "punctuation.terminator.function_prototype.vhdl"
615
- }
616
- }
617
- },
618
- {
619
- "include": "#parenthetical_list"
620
- },
621
- {
622
- "include": "#cleanup"
623
- }
624
- ],
625
- "beginCaptures": {
626
- "1": {
627
- "name": "storage.type.function.vhdl"
628
- },
629
- "3": {
630
- "name": "entity.name.function.function.prototype.vhdl"
631
- },
632
- "4": {
633
- "name": "entity.name.function.function.prototype.vhdl"
634
- },
635
- "5": {
636
- "name": "entity.name.function.function.prototype.vhdl"
637
- },
638
- "6": {
639
- "name": "invalid.illegal.function.name.vhdl"
640
- }
641
- }
642
- }
643
- ]
644
- },
645
- "generic_list_pattern": {
646
- "patterns": [
647
- {
648
- "name": "meta.block.generic_list.vhdl",
649
- "begin": "\\b(?i:generic)\\b",
650
- "end": ";",
651
- "patterns": [
652
- {
653
- "include": "#parenthetical_list"
654
- }
655
- ],
656
- "beginCaptures": {
657
- "0": {
658
- "name": "keyword.control.generic.vhdl"
659
- }
660
- },
661
- "endCaptures": {
662
- "0": {
663
- "name": "punctuation.terminator.statement.vhdl"
664
- }
665
- }
666
- }
667
- ]
668
- },
669
- "if_pattern": {
670
- "patterns": [
671
- {
672
- "name": "meta.block.if.vhdl",
673
- "begin": "(?x)\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Optional identifier $2\n\t\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\n\n\t\t\t\t\t\t\t# Followed by a colon $3\n\t\t\t\t\t\t\t\\s*(:)\\s*\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# Keyword if $4\n\t\t\t\t\t\t\\b((?i:if))\\b\n\t\t\t\t\t",
674
- "end": "(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end))\\s+\n\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t(\n\t\t\t\t\t\t\t\t# Optional generate or if keyword $4\n\t\t\t\t\t\t\t\t ((?i:generate|if))\n\n\t\t\t\t\t\t\t\t# Keyword if or generate required $5\n\t\t\t\t\t\t\t\t|(\\S+)\n\t\t\t\t\t\t\t)\\b\n\t\t\t\t\t\t\t(\n\t\t\t\t\t\t\t\t\\s+\n\t\t\t\t\t\t\t\t(\n\t\t\t\t\t\t\t\t\t# Optional matching identifier $8\n\t\t\t\t\t\t\t\t\t (\\2)\n\n\t\t\t\t\t\t\t\t\t# Mismatched identifier $9\n\t\t\t\t\t\t\t\t\t|(.+?)\n\t\t\t\t\t\t\t\t)\n\t\t\t\t\t\t\t)?\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# Followed by a semicolon\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t",
675
- "patterns": [
676
- {
677
- "include": "#control_patterns"
678
- },
679
- {
680
- "include": "#process_pattern"
681
- },
682
- {
683
- "include": "#entity_instantiation_pattern"
684
- },
685
- {
686
- "include": "#component_pattern"
687
- },
688
- {
689
- "include": "#component_instantiation_pattern"
690
- },
691
- {
692
- "include": "#cleanup"
693
- }
694
- ],
695
- "beginCaptures": {
696
- "2": {
697
- "name": "entity.name.tag.if.generate.begin.vhdl"
698
- },
699
- "3": {
700
- "name": "punctuation.separator.vhdl"
701
- },
702
- "4": {
703
- "name": "keyword.control.if.vhdl"
704
- }
705
- },
706
- "endCaptures": {
707
- "1": {
708
- "name": "keyword.control.end.vhdl"
709
- },
710
- "4": {
711
- "name": "keyword.control.generate.vhdl"
712
- },
713
- "5": {
714
- "name": "invalid.illegal.if.or.generate.required.vhdl"
715
- },
716
- "8": {
717
- "name": "entity.name.tag.if.generate.end.vhdl"
718
- },
719
- "9": {
720
- "name": "invalid.illegal.mismatched.identifier.vhdl"
721
- }
722
- }
723
- }
724
- ]
725
- },
726
- "keywords": {
727
- "patterns": [
728
- {
729
- "name": "keyword.control.attributes.vhdl",
730
- "match": "'(?i:active|ascending|base|delayed|driving|event|high|image|instance|last|left|leftof|length|low|path|pos|pred|quiet|range|reverse|right|rightof|simple|stable|succ|transaction|val|value)\\b"
731
- },
732
- {
733
- "name": "keyword.control.language.vhdl",
734
- "match": "\\b(?i:abs|access|after|alias|all|and|architecture|array|assert|attribute|begin|block|body|buffer|bus|case|component|configuration|constant|disconnect|downto|else|elsif|end|entity|exit|file|for|function|generate|generic|group|guarded|if|impure|in|inertial|inout|is|label|library|linkage|literal|loop|map|mod|nand|new|next|nor|not|null|of|on|open|or|others|out|package|port|postponed|procedure|process|pure|range|record|register|reject|rem|report|return|rol|ror|select|severity|shared|signal|sla|sll|sra|srl|subtype|then|to|transport|type|unaffected|units|until|use|variable|wait|when|while|with|xnor|xor)\\b"
735
- },
736
- {
737
- "name": "keyword.operator.vhdl",
738
- "match": "(\\+|\\-|\u003c=|=|=\u003e|:=|\u003e=|\u003e|\u003c|/|\\||\u0026|(\\*{1,2}))"
739
- }
740
- ]
741
- },
742
- "package_body_pattern": {
743
- "patterns": [
744
- {
745
- "name": "meta.block.package_body.vhdl",
746
- "begin": "(?x)\n\t\t\t\t\t\t# The word package $1\n\t\t\t\t\t\t\\b((?i:package))\\s+\n\n\t\t\t\t\t\t# ... but we want to be a package body $2\n\t\t\t\t\t\t((?i:body))\\s+\n\n\t\t\t\t\t\t# The valid identifier $4 or the invalid one $5\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z\\d_]*)|(.+?))\\s+\n\n\t\t\t\t\t\t# ... and we end it with an is $6\n\t\t\t\t\t\t((?i:is))\\b\n\t\t\t\t\t",
747
- "end": "(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end\\b))\n\n\t\t\t\t\t\t# Optional word package $3 body $4\n\t\t\t\t\t\t(\\s+((?i:package))\\s+((?i:body)))?\n\n\t\t\t\t\t\t# Optional identifier $7 or mismatched identifier $8\n\t\t\t\t\t\t(\\s+((\\4)|(.+?)))?(?=\\s*;)",
748
- "patterns": [
749
- {
750
- "include": "#function_definition_pattern"
751
- },
752
- {
753
- "include": "#procedure_definition_pattern"
754
- },
755
- {
756
- "include": "#type_pattern"
757
- },
758
- {
759
- "include": "#subtype_pattern"
760
- },
761
- {
762
- "include": "#record_pattern"
763
- },
764
- {
765
- "include": "#cleanup"
766
- }
767
- ],
768
- "beginCaptures": {
769
- "1": {
770
- "name": "storage.type.package.vhdl"
771
- },
772
- "2": {
773
- "name": "keyword.control.body.vhdl"
774
- },
775
- "4": {
776
- "name": "entity.name.section.package_body.begin.vhdl"
777
- },
778
- "5": {
779
- "name": "invalid.illegal.invalid.identifier.vhdl"
780
- },
781
- "6": {
782
- "name": "keyword.control.is.vhdl"
783
- }
784
- },
785
- "endCaptures": {
786
- "1": {
787
- "name": "keyword.control.end.vhdl"
788
- },
789
- "3": {
790
- "name": "storage.type.package.vhdl"
791
- },
792
- "4": {
793
- "name": "keyword.control.body.vhdl"
794
- },
795
- "7": {
796
- "name": "entity.name.section.package_body.end.vhdl"
797
- },
798
- "8": {
799
- "name": "invalid.illegal.mismatched.identifier.vhdl"
800
- }
801
- }
802
- }
803
- ]
804
- },
805
- "package_pattern": {
806
- "patterns": [
807
- {
808
- "name": "meta.block.package.vhdl",
809
- "begin": "(?x)\n\t\t\t\t\t\t# The word package $1\n\t\t\t\t\t\t\\b((?i:package))\\s+\n\n\t\t\t\t\t\t# ... but we do not want to be a package body\n\t\t\t\t\t\t(?!(?i:body))\n\n\t\t\t\t\t\t# The valid identifier $3 or the invalid one $4\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z\\d_]*)|(.+?))\\s+\n\n\t\t\t\t\t\t# ... and we end it with an is $5\n\t\t\t\t\t\t((?i:is))\\b\n\t\t\t\t\t",
810
- "end": "(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end\\b))\n\n\t\t\t\t\t\t# Optional word package $3\n\t\t\t\t\t\t(\\s+((?i:package)))?\n\n\t\t\t\t\t\t# Optional identifier $6 or mismatched identifier $7\n\t\t\t\t\t\t(\\s+((\\2)|(.+?)))?(?=\\s*;)",
811
- "patterns": [
812
- {
813
- "include": "#function_prototype_pattern"
814
- },
815
- {
816
- "include": "#procedure_prototype_pattern"
817
- },
818
- {
819
- "include": "#type_pattern"
820
- },
821
- {
822
- "include": "#subtype_pattern"
823
- },
824
- {
825
- "include": "#record_pattern"
826
- },
827
- {
828
- "include": "#component_pattern"
829
- },
830
- {
831
- "include": "#cleanup"
832
- }
833
- ],
834
- "beginCaptures": {
835
- "1": {
836
- "name": "storage.type.package.vhdl"
837
- },
838
- "3": {
839
- "name": "entity.name.section.package.begin.vhdl"
840
- },
841
- "4": {
842
- "name": "invalid.illegal.invalid.identifier.vhdl"
843
- },
844
- "5": {
845
- "name": "keyword.control.is.vhdl"
846
- }
847
- },
848
- "endCaptures": {
849
- "1": {
850
- "name": "keyword.control.end.vhdl"
851
- },
852
- "3": {
853
- "name": "storage.type.package.vhdl"
854
- },
855
- "6": {
856
- "name": "entity.name.section.package.end.vhdl"
857
- },
858
- "7": {
859
- "name": "invalid.illegal.mismatched.identifier.vhdl"
860
- }
861
- }
862
- }
863
- ]
864
- },
865
- "parenthetical_list": {
866
- "patterns": [
867
- {
868
- "name": "meta.block.parenthetical_list.vhdl",
869
- "begin": "\\(",
870
- "end": "(?\u003c=\\))",
871
- "patterns": [
872
- {
873
- "name": "meta.list.element.vhdl",
874
- "begin": "(?=['\"a-zA-Z0-9])",
875
- "end": "(;|\\)|,)",
876
- "patterns": [
877
- {
878
- "include": "#comments"
879
- },
880
- {
881
- "include": "#parenthetical_pair"
882
- },
883
- {
884
- "include": "#cleanup"
885
- }
886
- ],
887
- "endCaptures": {
888
- "0": {
889
- "name": "meta.item.stopping.character.vhdl"
890
- }
891
- }
892
- },
893
- {
894
- "name": "invalid.illegal.unexpected.parenthesis.vhdl",
895
- "match": "\\)"
896
- },
897
- {
898
- "include": "#cleanup"
899
- }
900
- ],
901
- "beginCaptures": {
902
- "0": {
903
- "name": "punctuation.definition.parenthetical_list.begin.vhdl"
904
- }
905
- }
906
- }
907
- ]
908
- },
909
- "parenthetical_pair": {
910
- "patterns": [
911
- {
912
- "name": "meta.block.parenthetical_pair.vhdl",
913
- "begin": "\\(",
914
- "end": "\\)",
915
- "patterns": [
916
- {
917
- "include": "#parenthetical_pair"
918
- },
919
- {
920
- "include": "#cleanup"
921
- }
922
- ],
923
- "beginCaptures": {
924
- "0": {
925
- "name": "punctuation.section.scope.begin.vhdl"
926
- }
927
- },
928
- "endCaptures": {
929
- "0": {
930
- "name": "punctuation.section.scope.end.vhdl"
931
- }
932
- }
933
- }
934
- ]
935
- },
936
- "port_list_pattern": {
937
- "patterns": [
938
- {
939
- "name": "meta.block.port_list.vhdl",
940
- "begin": "\\b(?i:port)\\b",
941
- "end": ";",
942
- "patterns": [
943
- {
944
- "include": "#parenthetical_list"
945
- }
946
- ],
947
- "beginCaptures": {
948
- "0": {
949
- "name": "keyword.control.port.vhdl"
950
- }
951
- },
952
- "endCaptures": {
953
- "0": {
954
- "name": "punctuation.terminator.statement.vhdl"
955
- }
956
- }
957
- }
958
- ]
959
- },
960
- "procedure_definition_pattern": {
961
- "patterns": [
962
- {
963
- "name": "meta.block.procedure_definition.vhdl",
964
- "begin": "(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word function $1\n\t\t\t\t\t\t((?i:procedure))\\s+\n\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# A valid normal identifier $3\n\t\t\t\t\t\t\t ([a-zA-Z][a-zA-Z\\d_]*)\n\t\t\t\t\t\t\t# A valid quoted identifier $4\n\t\t\t\t\t\t\t|(\"\\S+\")\n\t\t\t\t\t\t\t# An invalid identifier $5\n\t\t\t\t\t\t\t|(.+?)\n\t\t\t\t\t\t)\n\n\t\t\t\t\t\t# Check to make sure we have a list is\n\t\t\t\t\t\t(?=\\s*(\\(|(?i:is)))\n\t\t\t\t\t",
965
- "end": "(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t((?i:end))\n\n\t\t\t\t\t\t# Optional word function $3\n\t\t\t\t\t\t(\\s+((?i:procedure)))?\n\n\t\t\t\t\t\t# Optional matched identifier $6 or mismatched identifier $7\n\t\t\t\t\t\t(\\s+((\\3|\\4)|(.+?)))?\n\n\t\t\t\t\t\t# Ending with whitespace and semicolon\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t",
966
- "patterns": [
967
- {
968
- "include": "#parenthetical_list"
969
- },
970
- {
971
- "include": "#control_patterns"
972
- },
973
- {
974
- "include": "#type_pattern"
975
- },
976
- {
977
- "include": "#record_pattern"
978
- },
979
- {
980
- "include": "#cleanup"
981
- }
982
- ],
983
- "beginCaptures": {
984
- "1": {
985
- "name": "storage.type.procedure.vhdl"
986
- },
987
- "3": {
988
- "name": "entity.name.function.procedure.begin.vhdl"
989
- },
990
- "4": {
991
- "name": "entity.name.function.procedure.begin.vhdl"
992
- },
993
- "5": {
994
- "name": "invalid.illegal.invalid.identifier.vhdl"
995
- }
996
- },
997
- "endCaptures": {
998
- "1": {
999
- "name": "keyword.control.end.vhdl"
1000
- },
1001
- "3": {
1002
- "name": "storage.type.procedure.vhdl"
1003
- },
1004
- "6": {
1005
- "name": "entity.name.function.procedure.end.vhdl"
1006
- },
1007
- "7": {
1008
- "name": "invalid.illegal.mismatched.identifier.vhdl"
1009
- }
1010
- }
1011
- }
1012
- ]
1013
- },
1014
- "procedure_prototype_pattern": {
1015
- "patterns": [
1016
- {
1017
- "name": "meta.block.procedure_prototype.vhdl",
1018
- "begin": "(?x)\n\t\t\t\t\t\t\\b((?i:procedure))\\s+\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))\n\t\t\t\t\t\t(?=\\s*(\\(|;))\n\t\t\t\t\t",
1019
- "end": ";",
1020
- "patterns": [
1021
- {
1022
- "include": "#parenthetical_list"
1023
- }
1024
- ],
1025
- "beginCaptures": {
1026
- "1": {
1027
- "name": "storage.type.procedure.vhdl"
1028
- },
1029
- "3": {
1030
- "name": "entity.name.function.procedure.begin.vhdl"
1031
- },
1032
- "4": {
1033
- "name": "invalid.illegal.invalid.identifier.vhdl"
1034
- }
1035
- },
1036
- "endCaptures": {
1037
- "0": {
1038
- "name": "punctual.vhdl"
1039
- }
1040
- }
1041
- }
1042
- ]
1043
- },
1044
- "process_pattern": {
1045
- "patterns": [
1046
- {
1047
- "name": "meta.block.process.vhdl",
1048
- "begin": "(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Optional identifier $2\n\t\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\n\n\t\t\t\t\t\t\t# Colon $3\n\t\t\t\t\t\t\t\\s*(:)\\s*\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# The word process #4\n\t\t\t\t\t\t((?i:process))\n\t\t\t\t\t",
1049
- "end": "(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t((?i:end))\n\n\t\t\t\t\t\t# Optional word process $3\n\t\t\t\t\t\t(\\s+((?i:process)))\n\n\t\t\t\t\t\t# Optional identifier $6 or invalid identifier $7\n\t\t\t\t\t\t(\\s+((\\2)|(.+?)))?\n\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t",
1050
- "patterns": [
1051
- {
1052
- "include": "#control_patterns"
1053
- },
1054
- {
1055
- "include": "#cleanup"
1056
- }
1057
- ],
1058
- "beginCaptures": {
1059
- "2": {
1060
- "name": "entity.name.section.process.begin.vhdl"
1061
- },
1062
- "3": {
1063
- "name": "punctuation.separator.vhdl"
1064
- },
1065
- "4": {
1066
- "name": "keyword.control.process.vhdl"
1067
- }
1068
- },
1069
- "endCaptures": {
1070
- "1": {
1071
- "name": "keyword.control.end.vhdl"
1072
- },
1073
- "3": {
1074
- "name": "keyword.control.process.vhdl"
1075
- },
1076
- "6": {
1077
- "name": "entity.name.section.process.end.vhdl"
1078
- },
1079
- "7": {
1080
- "name": "invalid.illegal.invalid.identifier.vhdl"
1081
- }
1082
- }
1083
- }
1084
- ]
1085
- },
1086
- "punctuation": {
1087
- "patterns": [
1088
- {
1089
- "name": "punctuation.definition.other.vhdl",
1090
- "match": "(\\.|,|:|;|\\(|\\))"
1091
- }
1092
- ]
1093
- },
1094
- "record_pattern": {
1095
- "patterns": [
1096
- {
1097
- "name": "meta.block.record.vhdl",
1098
- "begin": "\\b(?i:record)\\b",
1099
- "end": "(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end))\n\n\t\t\t\t\t\t# The word record $2\n\t\t\t\t\t\t\\s+((?i:record))\n\n\t\t\t\t\t\t# Optional identifier $5 or invalid identifier $6\n\t\t\t\t\t\t(\\s+(([a-zA-Z][a-zA-Z\\d_]*)|(.*?)))?\n\n\t\t\t\t\t\t# Only whitespace and semicolons can be left\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t",
1100
- "patterns": [
1101
- {
1102
- "include": "#cleanup"
1103
- }
1104
- ],
1105
- "beginCaptures": {
1106
- "0": {
1107
- "name": "storage.type.record.vhdl"
1108
- }
1109
- },
1110
- "endCaptures": {
1111
- "1": {
1112
- "name": "keyword.control.end.vhdl"
1113
- },
1114
- "2": {
1115
- "name": "storage.type.record.vhdl"
1116
- },
1117
- "5": {
1118
- "name": "entity.name.type.record.vhdl"
1119
- },
1120
- "6": {
1121
- "name": "invalid.illegal.invalid.identifier.vhdl"
1122
- }
1123
- }
1124
- },
1125
- {
1126
- "include": "#cleanup"
1127
- }
1128
- ]
1129
- },
1130
- "strings": {
1131
- "patterns": [
1132
- {
1133
- "name": "string.quoted.single.vhdl",
1134
- "match": "(').(')",
1135
- "captures": {
1136
- "1": {
1137
- "name": "punctuation.definition.string.begin.vhdl"
1138
- },
1139
- "2": {
1140
- "name": "punctuation.definition.string.end.vhdl"
1141
- }
1142
- }
1143
- },
1144
- {
1145
- "name": "string.quoted.double.vhdl",
1146
- "begin": "\"",
1147
- "end": "\"",
1148
- "patterns": [
1149
- {
1150
- "name": "constant.character.escape.vhdl",
1151
- "match": "\\\\."
1152
- }
1153
- ],
1154
- "beginCaptures": {
1155
- "0": {
1156
- "name": "punctuation.definition.string.begin.vhdl"
1157
- }
1158
- },
1159
- "endCaptures": {
1160
- "0": {
1161
- "name": "punctuation.definition.string.end.vhdl"
1162
- }
1163
- }
1164
- },
1165
- {
1166
- "name": "string.other.backslash.vhdl",
1167
- "begin": "\\\\",
1168
- "end": "\\\\"
1169
- }
1170
- ]
1171
- },
1172
- "subtype_pattern": {
1173
- "patterns": [
1174
- {
1175
- "name": "meta.block.subtype.vhdl",
1176
- "begin": "(?x)\n\t\t\t\t\t\t# The word subtype $1\n\t\t\t\t\t\t\\b((?i:subtype))\\s+\n\n\t\t\t\t\t\t# Valid identifier $3 or invalid identifier $4\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))\\s+\n\n\t\t\t\t\t\t# The word is $5\n\t\t\t\t\t\t((?i:is))\\b\n\t\t\t\t\t",
1177
- "end": ";",
1178
- "patterns": [
1179
- {
1180
- "include": "#cleanup"
1181
- }
1182
- ],
1183
- "beginCaptures": {
1184
- "1": {
1185
- "name": "keyword.control.subtype.vhdl"
1186
- },
1187
- "3": {
1188
- "name": "entity.name.type.subtype.vhdl"
1189
- },
1190
- "4": {
1191
- "name": "invalid.illegal.invalid.identifier.vhdl"
1192
- },
1193
- "5": {
1194
- "name": "keyword.control.is.vhdl"
1195
- }
1196
- },
1197
- "endCaptures": {
1198
- "0": {
1199
- "name": "punctuation.terminator.statement.vhdl"
1200
- }
1201
- }
1202
- }
1203
- ]
1204
- },
1205
- "support_constants": {
1206
- "patterns": [
1207
- {
1208
- "name": "support.constant.ieee.math_real.vhdl",
1209
- "match": "\\b(?i:math_1_over_e|math_1_over_pi|math_1_over_sqrt_2|math_2_pi|math_3_pi_over_2|math_deg_to_rad|math_e|math_log10_of_e|math_log2_of_e|math_log_of_10|math_log_of_2|math_pi|math_pi_over_2|math_pi_over_3|math_pi_over_4|math_rad_to_deg|math_sqrt_2|math_sqrt_pi)\\b"
1210
- },
1211
- {
1212
- "name": "support.constant.ieee.math_complex.vhdl",
1213
- "match": "\\b(?i:math_cbase_1|math_cbase_j|math_czero|positive_real|principal_value)\\b"
1214
- },
1215
- {
1216
- "name": "support.constant.std.standard.vhdl",
1217
- "match": "\\b(?i:true|false)\\b"
1218
- }
1219
- ]
1220
- },
1221
- "support_functions": {
1222
- "patterns": [
1223
- {
1224
- "name": "support.function.std.env.vhdl",
1225
- "match": "\\b(?i:finish|stop|resolution_limit)\\b"
1226
- },
1227
- {
1228
- "name": "support.function.std.textio.vhdl",
1229
- "match": "\\b(?i:readline|read|writeline|write|endfile|endline)\\b"
1230
- },
1231
- {
1232
- "name": "support.function.ieee.std_logic_1164.vhdl",
1233
- "match": "\\b(?i:rising_edge|falling_edge|to_bit|to_bitvector|to_stdulogic|to_stdlogicvector|to_stdulogicvector|is_x)\\b"
1234
- },
1235
- {
1236
- "name": "support.function.ieee.numeric_std.vhdl",
1237
- "match": "\\b(?i:shift_left|shift_right|rotate_left|rotate_right|resize|to_integer|to_unsigned|to_signed)\\b"
1238
- },
1239
- {
1240
- "name": "support.function.ieee.math_real.vhdl",
1241
- "match": "\\b(?i:arccos(h?)|arcsin(h?)|arctan|arctanh|cbrt|ceil|cos|cosh|exp|floor|log10|log2|log|realmax|realmin|round|sign|sin|sinh|sqrt|tan|tanh|trunc)\\b"
1242
- },
1243
- {
1244
- "name": "support.function.ieee.math_complex.vhdl",
1245
- "match": "\\b(?i:arg|cmplx|complex_to_polar|conj|get_principal_value|polar_to_complex)\\b"
1246
- }
1247
- ]
1248
- },
1249
- "support_types": {
1250
- "patterns": [
1251
- {
1252
- "name": "support.type.std.standard.vhdl",
1253
- "match": "\\b(?i:boolean|bit|character|severity_level|integer|real|time|delay_length|now|natural|positive|string|bit_vector|file_open_kind|file_open_status|fs|ps|ns|us|ms|sec|min|hr|severity_level|note|warning|error|failure)\\b"
1254
- },
1255
- {
1256
- "name": "support.type.std.textio.vhdl",
1257
- "match": "\\b(?i:line|text|side|width|input|output)\\b"
1258
- },
1259
- {
1260
- "name": "support.type.ieee.std_logic_1164.vhdl",
1261
- "match": "\\b(?i:std_logic|std_ulogic|std_logic_vector|std_ulogic_vector)\\b"
1262
- },
1263
- {
1264
- "name": "support.type.ieee.numeric_std.vhdl",
1265
- "match": "\\b(?i:signed|unsigned)\\b"
1266
- },
1267
- {
1268
- "name": "support.type.ieee.math_complex.vhdl",
1269
- "match": "\\b(?i:complex|complex_polar)\\b"
1270
- }
1271
- ]
1272
- },
1273
- "syntax_highlighting": {
1274
- "patterns": [
1275
- {
1276
- "include": "#keywords"
1277
- },
1278
- {
1279
- "include": "#punctuation"
1280
- },
1281
- {
1282
- "include": "#support_constants"
1283
- },
1284
- {
1285
- "include": "#support_types"
1286
- },
1287
- {
1288
- "include": "#support_functions"
1289
- }
1290
- ]
1291
- },
1292
- "type_pattern": {
1293
- "patterns": [
1294
- {
1295
- "name": "meta.block.type.vhdl",
1296
- "begin": "(?x)\n\t\t\t\t\t\t# The word type $1\n\t\t\t\t\t\t\\b((?i:type))\\s+\n\n\t\t\t\t\t\t# Valid identifier $3 or invalid identifier $4\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))\n\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# A semicolon is coming up if we are incomplete\n\t\t\t\t\t\t\t (?=\\s*;)\n\n\t\t\t\t\t\t\t# Or the word is comes up $7\n\t\t\t\t\t\t\t|(\\s+((?i:is)))\n\t\t\t\t\t\t)\\b\n\t\t\t\t\t",
1297
- "end": ";",
1298
- "patterns": [
1299
- {
1300
- "include": "#record_pattern"
1301
- },
1302
- {
1303
- "include": "#cleanup"
1304
- }
1305
- ],
1306
- "beginCaptures": {
1307
- "1": {
1308
- "name": "keyword.control.type.vhdl"
1309
- },
1310
- "3": {
1311
- "name": "entity.name.type.type.vhdl"
1312
- },
1313
- "4": {
1314
- "name": "invalid.illegal.invalid.identifier.vhdl"
1315
- },
1316
- "7": {
1317
- "name": "keyword.control.is.vhdl"
1318
- }
1319
- },
1320
- "endCaptures": {
1321
- "0": {
1322
- "name": "punctuation.terminator.statement.vhdl"
1323
- }
1324
- }
1325
- }
1326
- ]
1327
- },
1328
- "while_pattern": {
1329
- "patterns": [
1330
- {
1331
- "name": "meta.block.while.vhdl",
1332
- "begin": "(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Check for an identifier $2\n\t\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\n\n\t\t\t\t\t\t\t# Followed by a colon $3\n\t\t\t\t\t\t\t\\s*(:)\\s*\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# The for keyword $4\n\t\t\t\t\t\t\\b((?i:while))\\b\n\t\t\t\t\t",
1333
- "end": "(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end))\\s+\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Followed by keyword loop $3\n\t\t\t\t\t\t\t ((?i:loop))\n\n\t\t\t\t\t\t\t# But it really is required $4\n\t\t\t\t\t\t\t|(\\S+)\n\t\t\t\t\t\t)\\b\n\n\t\t\t\t\t\t# The matching identifier $7 or an invalid identifier $8\n\t\t\t\t\t\t(\\s+((\\2)|(.+?)))?\n\n\t\t\t\t\t\t# Only space and a semicolon left\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t",
1334
- "patterns": [
1335
- {
1336
- "include": "#control_patterns"
1337
- },
1338
- {
1339
- "include": "#cleanup"
1340
- }
1341
- ],
1342
- "beginCaptures": {
1343
- "2": {
1344
- "name": "entity.name.type.vhdl"
1345
- },
1346
- "3": {
1347
- "name": "punctuation.separator.vhdl"
1348
- },
1349
- "4": {
1350
- "name": "keyword.control.while.vhdl"
1351
- }
1352
- },
1353
- "endCaptures": {
1354
- "1": {
1355
- "name": "keyword.control.end.vhdl"
1356
- },
1357
- "3": {
1358
- "name": "keyword.control.loop.vhdl"
1359
- },
1360
- "4": {
1361
- "name": "invalid.illegal.loop.keyword.required.vhdl"
1362
- },
1363
- "7": {
1364
- "name": "entity.name.tag.while.loop.vhdl"
1365
- },
1366
- "8": {
1367
- "name": "invalid.illegal.mismatched.identifier"
1368
- }
1369
- }
1370
- }
1371
- ]
1372
- }
1373
- }
1374
- }
1
+ {"name":"VHDL","scopeName":"source.vhdl","patterns":[{"include":"#block_processing"},{"include":"#cleanup"}],"repository":{"architecture_pattern":{"patterns":[{"name":"meta.block.architecture","begin":"(?x)\n\n\t\t\t\t\t\t# The word architecture $1\n\t\t\t\t\t\t\\b((?i:architecture))\\s+\n\t\t\t\t\t\t\n\t\t\t\t\t\t# Followed up by a valid $3 or invalid identifier $4\n\t\t\t\t\t\t(([a-zA-z][a-zA-z0-9_]*)|(.+))(?=\\s)\\s+\n\n\t\t\t\t\t\t# The word of $5\n\t\t\t\t\t\t((?i:of))\\s+\n\n\t\t\t\t\t\t# Followed by a valid $7 or invalid identifier $8\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))(?=\\s*(?i:is))\\b\n\t\t\t\t\t","end":"(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end))\n\n\t\t\t\t\t\t# Optional word architecture $3\n\t\t\t\t\t\t(\\s+((?i:architecture)))?\n\n\t\t\t\t\t\t# Optional same identifier $6 or illegal identifier $7\n\t\t\t\t\t\t(\\s+((\\3)|(.+?)))?\n\n\t\t\t\t\t\t# This will cause the previous to capture until just before the ; or $\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t","patterns":[{"include":"#function_definition_pattern"},{"include":"#procedure_definition_pattern"},{"include":"#component_pattern"},{"include":"#if_pattern"},{"include":"#process_pattern"},{"include":"#type_pattern"},{"include":"#record_pattern"},{"include":"#for_pattern"},{"include":"#entity_instantiation_pattern"},{"include":"#component_instantiation_pattern"},{"include":"#cleanup"}],"beginCaptures":{"1":{"name":"storage.type.architecture.vhdl"},"3":{"name":"entity.name.type.architecture.begin.vhdl"},"4":{"name":"invalid.illegal.invalid.identifier.vhdl"},"5":{"name":"keyword.control.of.vhdl"},"7":{"name":"entity.name.type.entity.reference.vhdl"},"8":{"name":"invalid.illegal.invalid.identifier.vhdl"}},"endCaptures":{"1":{"name":"keyword.control.end.vhdl"},"3":{"name":"storage.type.architecture.vhdl"},"6":{"name":"entity.name.type.architecture.end.vhdl"},"7":{"name":"invalid.illegal.mismatched.identifier.vhdl"}}}]},"attribute_list":{"patterns":[{"name":"meta.block.attribute_list","begin":"'\\(","end":"\\)","patterns":[{"include":"#parenthetical_list"},{"include":"#cleanup"}],"beginCaptures":{"0":{"name":"punctuation.definition.attribute_list.begin.vhdl"}},"endCaptures":{"0":{"name":"punctuation.definition.attribute_list.end.vhdl"}}}]},"block_processing":{"patterns":[{"include":"#package_pattern"},{"include":"#package_body_pattern"},{"include":"#entity_pattern"},{"include":"#architecture_pattern"}]},"case_pattern":{"patterns":[{"name":"meta.block.case.vhdl","begin":"(?x)\n\t\t\t\t\t\t# Beginning of line ...\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# Optional identifier ... $3 or invalid identifier $4\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t(\n\t\t\t\t\t\t\t\t ([a-zA-Z][a-zA-Z0-9_]*)\n\t\t\t\t\t\t\t\t|(.+?)\n\t\t\t\t\t\t\t)\n\t\t\t\t\t\t\t\\s*:\\s*\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# The word case $5\n\t\t\t\t\t\t\\b((?i:case))\\b\n\t\t\t\t\t","end":"(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end))\\s*\n\n\t\t\t\t\t\t# The word case $4 or invalid word $5\n\t\t\t\t\t\t(\\s+(((?i:case))|(.*?)))\n\n\t\t\t\t\t\t# Optional identifier from before $8 or illegal $9\n\t\t\t\t\t\t(\\s+((\\2)|(.*?)))?\n\n\t\t\t\t\t\t# Ending with a semicolon\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t","patterns":[{"include":"#control_patterns"},{"include":"#cleanup"}],"beginCaptures":{"3":{"name":"entity.name.tag.case.begin.vhdl"},"4":{"name":"invalid.illegal.invalid.identifier.vhdl"},"5":{"name":"keyword.control.case.vhdl"}},"endCaptures":{"1":{"name":"keyword.control.end.vhdl"},"4":{"name":"keyword.control.case.vhdl"},"5":{"name":"invalid.illegal.case.required.vhdl"},"8":{"name":"entity.name.tag.case.end.vhdl"},"9":{"name":"invalid.illegal.mismatched.identifier.vhdl"}}}]},"cleanup":{"patterns":[{"include":"#comments"},{"include":"#constants_numeric"},{"include":"#strings"},{"include":"#attribute_list"},{"include":"#syntax_highlighting"}]},"comments":{"patterns":[{"begin":"(^[ \\t]+)?(?=--)","end":"(?!\\G)","patterns":[{"name":"comment.line.double-dash.vhdl","begin":"--","end":"\\n","beginCaptures":{"0":{"name":"punctuation.definition.comment.vhdl"}}}],"beginCaptures":{"1":{"name":"punctuation.whitespace.comment.leading.vhdl"}}}]},"component_instantiation_pattern":{"patterns":[{"name":"meta.block.component_instantiation.vhdl","begin":"(?x)\n\t\t\t\t\t\t# From the beginning of the line ...\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# Match a valid identifier $1\n\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\n\n\t\t\t\t\t\t# Colon! $2\n\t\t\t\t\t\t\\s*(:)\\s*\n\n\t\t\t\t\t\t# Another valid identifier $3\n\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\\b\n\n\t\t\t\t\t\t# Make sure we are just the other word, or the beginning of\n\t\t\t\t\t\t# a generic or port mapping\n\t\t\t\t\t\t(?=\\s*($|generic|port))\n\t\t\t\t\t","end":";","patterns":[{"include":"#parenthetical_list"},{"include":"#cleanup"}],"beginCaptures":{"1":{"name":"entity.name.section.component_instantiation.vhdl"},"2":{"name":"punctuation.separator.vhdl"},"3":{"name":"entity.name.tag.component.reference.vhdl"}},"endCaptures":{"0":{"name":"punctuation.terminator.statement.vhdl"}}}]},"component_pattern":{"patterns":[{"name":"meta.block.component.vhdl","begin":"(?x)\n\t\t\t\t\t\t# From the beginning of the line ...\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word component $1\n\t\t\t\t\t\t\\b((?i:component))\\s+\n\n\t\t\t\t\t\t# A valid identifier $3 or invalid identifier $4\n\t\t\t\t\t\t(([a-zA-Z_][a-zA-Z0-9_]*)\\s*|(.+?))(?=\\b(?i:is|port)\\b|$|--)\n\n\t\t\t\t\t\t# Optional word is $6\n\t\t\t\t\t\t(\\b((?i:is\\b)))?\n\t\t\t\t\t","end":"(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?:end))\\s+\n\n\t\t\t\t\t\t# The word component $3 or illegal word $4\n\t\t\t\t\t\t(((?i:component\\b))|(.+?))(?=\\s*|;)\n\t\t\t\t\t\t\n\t\t\t\t\t\t# Optional identifier $7 or illegal mismatched $8\n\t\t\t\t\t\t(\\s+((\\3)|(.+?)))?(?=\\s*;)\n\t\t\t\t\t","patterns":[{"include":"#generic_list_pattern"},{"include":"#port_list_pattern"},{"include":"#comments"}],"beginCaptures":{"1":{"name":"storage.type.component.vhdl"},"3":{"name":"entity.name.type.component.begin.vhdl"},"4":{"name":"invalid.illegal.invalid.identifier.vhdl"},"6":{"name":"keyword.control.is.vhdl"}},"endCaptures":{"1":{"name":"keyword.control.end.vhdl"},"3":{"name":"storage.type.component.vhdl"},"4":{"name":"invalid.illegal.component.keyword.required.vhdl"},"7":{"name":"entity.name.type.component.end.vhdl"},"8":{"name":"invalid.illegal.mismatched.identifier.vhdl"}}}]},"constants_numeric":{"patterns":[{"name":"constant.numeric.floating_point.vhdl","match":"\\b([+\\-]?[\\d_]+\\.[\\d_]+([eE][+\\-]?[\\d_]+)?)\\b"},{"name":"constant.numeric.base_pound_number_pound.vhdl","match":"\\b\\d+#[0-9A-Fa-f_]+#"},{"name":"constant.numeric.integer.vhdl","match":"\\b[\\d_]+([eE][\\d_]+)?\\b"},{"name":"constant.numeric.quoted.double.string.hex.vhdl","match":"[xX]\"[0-9a-fA-F_uUxXzZwWlLhH\\-]+\""},{"name":"constant.numeric.quoted.double.string.octal.vhdl","match":"[oO]\"[0-7_uUxXzZwWlLhH\\-]+\""},{"name":"constant.numeric.quoted.double.string.binary.vhdl","match":"[bB]?\"[01_uUxXzZwWlLhH\\-]+\""},{"name":"constant.numeric.quoted.double.string.illegal.vhdl","match":"([bBoOxX]\".+?\")","captures":{"1":{"name":"invalid.illegal.quoted.double.string.vhdl"}}},{"name":"constant.numeric.quoted.single.std_logic","match":"'[01uUxXzZwWlLhH\\-]'"}]},"control_patterns":{"patterns":[{"include":"#case_pattern"},{"include":"#if_pattern"},{"include":"#for_pattern"},{"include":"#while_pattern"}]},"entity_instantiation_pattern":{"patterns":[{"name":"meta.block.entity_instantiation.vhdl","begin":"(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# Component identifier or illegal identifier $1\n\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\n\n\t\t\t\t\t\t# Colon! $2\n\t\t\t\t\t\t\\s*(:)\\s*\n\n\t\t\t\t\t\t# Optional word use $4\n\t\t\t\t\t\t(((?i:use))\\s+)?\n\n\t\t\t\t\t\t# Required word entity $5\n\t\t\t\t\t\t((?i:entity))\\s+\n\n\t\t\t\t\t\t# Optional library unit identifier $8 for invalid identifier $9 followed by a dot $10\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))\n\t\t\t\t\t\t\t(\\.)\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# Entity name reference $12 or illegal identifier $13\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))\n\n\t\t\t\t\t\t# Check to see if we are being followed by either open paren, end of line, or port or generic words\n\t\t\t\t\t\t(?=\\s*(\\(|$|(?i:port|generic)))\n\n\t\t\t\t\t\t# Optional architecture elaboration\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Open paren $16\n\t\t\t\t\t\t\t\\s*(\\()\\s*\n\n\t\t\t\t\t\t\t# Arch identifier $18 or invalid identifier $19\n\t\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))(?=\\s*\\))\n\n\t\t\t\t\t\t\t# Close paren $21\n\t\t\t\t\t\t\t\\s*(\\))\n\t\t\t\t\t\t)?\n\t\t\t\t\t","end":";","patterns":[{"include":"#parenthetical_list"},{"include":"#cleanup"}],"beginCaptures":{"1":{"name":"entity.name.section.entity_instantiation.vhdl"},"10":{"name":"punctuation.separator.vhdl"},"12":{"name":"entity.name.tag.entity.reference.vhdl"},"13":{"name":"invalid.illegal.invalid.identifier.vhdl"},"16":{"name":"punctuation.definition.arguments.begin.vhdl"},"18":{"name":"entity.name.tag.architecture.reference.vhdl"},"19":{"name":"invalid.illegal.invalid.identifier.vhdl"},"2":{"name":"punctuation.separator.vhdl"},"21":{"name":"punctuation.definition.arguments.end.vhdl"},"4":{"name":"keyword.control.use.vhdl"},"5":{"name":"keyword.control.entity.vhdl"},"8":{"name":"entity.name.tag.library.reference.vhdl"},"9":{"name":"invalid.illegal.invalid.identifier.vhdl"}},"endCaptures":{"0":{"name":"punctuation.terminator.statement.vhdl"}}}]},"entity_pattern":{"patterns":[{"name":"meta.block.entity.vhdl","begin":"(?x)\n\t\t\t\t\t\t# From the beginning of the line ...\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word entity $1\n\t\t\t\t\t\t((?i:entity\\b))\\s+\n\n\t\t\t\t\t\t# The identifier $3 or an invalid identifier $4\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z\\d_]*)|(.+?))(?=\\s)\n\t\t\t\t\t","end":"(?x)\n\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end\\b))\n\n\t\t\t\t\t\t# Optional word entity $3\n\t\t\t\t\t\t(\\s+((?i:entity)))?\n\n\t\t\t\t\t\t# Optional identifier match $6 or indentifier mismatch $7\n\t\t\t\t\t\t(\\s+((\\3)|(.+?)))?\n\t\t\t\t\t\t\n\t\t\t\t\t\t# Make sure there is a semicolon following\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t","patterns":[{"include":"#comments"},{"include":"#generic_list_pattern"},{"include":"#port_list_pattern"},{"include":"#cleanup"}],"beginCaptures":{"1":{"name":"storage.type.entity.vhdl"},"3":{"name":"entity.name.type.entity.begin.vhdl"},"4":{"name":"invalid.illegal.invalid.identifier.vhdl"}},"endCaptures":{"1":{"name":"keyword.control.end.vhdl"},"3":{"name":"storage.type.entity.vhdl"},"6":{"name":"entity.name.type.entity.end.vhdl"},"7":{"name":"invalid.illegal.mismatched.identifier.vhdl"}}}]},"for_pattern":{"patterns":[{"name":"meta.block.for.vhdl","begin":"(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Check for an identifier $2\n\t\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\n\n\t\t\t\t\t\t\t# Followed by a colon $3\n\t\t\t\t\t\t\t\\s*(:)\\s*\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# Make sure the next word is not wait\n\t\t\t\t\t\t(?!(?i:wait\\s*))\n\n\t\t\t\t\t\t# The for keyword $4\n\t\t\t\t\t\t\\b((?i:for))\\b\n\n\t\t\t\t\t\t# Make sure the next word is not all\n\t\t\t\t\t\t(?!\\s*(?i:all))\n\n\t\t\t\t\t","end":"(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end))\\s+\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Followed by generate or loop $3\n\t\t\t\t\t\t\t ((?i:generate|loop))\n\n\t\t\t\t\t\t\t# But it really is required $4\n\t\t\t\t\t\t\t|(\\S+)\n\t\t\t\t\t\t)\\b\n\n\t\t\t\t\t\t# The matching identifier $7 or an invalid identifier $8\n\t\t\t\t\t\t(\\s+((\\2)|(.+?)))?\n\n\t\t\t\t\t\t# Only space and a semicolon left\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t","patterns":[{"include":"#control_patterns"},{"include":"#entity_instantiation_pattern"},{"include":"#component_pattern"},{"include":"#component_instantiation_pattern"},{"include":"#process_pattern"},{"include":"#cleanup"}],"beginCaptures":{"2":{"name":"entity.name.tag.for.generate.begin.vhdl"},"3":{"name":"punctuation.separator.vhdl"},"4":{"name":"keyword.control.for.vhdl"}},"endCaptures":{"1":{"name":"keyword.control.end.vhdl"},"3":{"name":"keyword.control.vhdl"},"4":{"name":"invalid.illegal.loop.or.generate.required.vhdl"},"7":{"name":"entity.name.tag.for.generate.end.vhdl"},"8":{"name":"invalid.illegal.mismatched.identifier.vhdl"}}}]},"function_definition_pattern":{"patterns":[{"name":"meta.block.function_definition.vhdl","begin":"(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word function $1\n\t\t\t\t\t\t((?i:function))\\s+\n\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# A valid normal identifier $3\n\t\t\t\t\t\t\t ([a-zA-Z][a-zA-Z\\d_]*)\n\t\t\t\t\t\t\t# A valid string quoted identifier $4\n\t\t\t\t\t\t\t|(\"\\S+\")\n\t\t\t\t\t\t\t# A valid backslash escaped identifier $5\n\t\t\t\t\t\t\t|(\\\\.+\\\\)\n\t\t\t\t\t\t\t# An invalid identifier $5\n\t\t\t\t\t\t\t|(.+?)\n\t\t\t\t\t\t)\n\n\t\t\t\t\t\t# Check to make sure we have a list or we return\n\t\t\t\t\t\t(?=\\s*\n\t\t\t\t\t\t\t(\n\t\t\t\t\t\t\t\t \\(\n\t\t\t\t\t\t\t\t|(?i:\\breturn\\b)\n\t\t\t\t\t\t\t)\n\t\t\t\t\t\t)\n\t\t\t\t\t","end":"(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t((?i:end))\n\n\t\t\t\t\t\t# Optional word function $3\n\t\t\t\t\t\t(\\s+((?i:function)))?\n\n\t\t\t\t\t\t# Optional matched identifier $6 or mismatched identifier $7\n\t\t\t\t\t\t(\\s+((\\3|\\4|\\5)|(.+?)))?\n\n\t\t\t\t\t\t# Ending with whitespace and semicolon\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t","patterns":[{"include":"#control_patterns"},{"include":"#parenthetical_list"},{"include":"#type_pattern"},{"include":"#record_pattern"},{"include":"#cleanup"}],"beginCaptures":{"1":{"name":"storage.type.function.vhdl"},"3":{"name":"entity.name.function.function.begin.vhdl"},"4":{"name":"entity.name.function.function.begin.vhdl"},"5":{"name":"entity.name.function.function.begin.vhdl"},"6":{"name":"invalid.illegal.invalid.identifier.vhdl"}},"endCaptures":{"1":{"name":"keyword.control.end.vhdl"},"3":{"name":"storage.type.function.vhdl"},"6":{"name":"entity.name.function.function.end.vhdl"},"7":{"name":"invalid.illegal.mismatched.identifier.vhdl"}}}]},"function_prototype_pattern":{"patterns":[{"name":"meta.block.function_prototype.vhdl","begin":"(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word function $1\n\t\t\t\t\t\t((?i:function))\\s+\n\n\t\t\t\t\t\t\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# A valid normal identifier $3\n\t\t\t\t\t\t\t ([a-zA-Z][a-zA-Z\\d_]*)\n\t\t\t\t\t\t\t# A valid quoted identifier $4\n\t\t\t\t\t\t\t|(\"\\S+\")\n\t\t\t\t\t\t\t# A valid backslash escaped identifier $5\n\t\t\t\t\t\t\t|(\\\\.+\\\\)\n\t\t\t\t\t\t\t# An invalid identifier $6\n\t\t\t\t\t\t\t|(.+?)\n\t\t\t\t\t\t)\n\n\t\t\t\t\t\t# Check to make sure we have a list or we return\n\t\t\t\t\t\t(?=\\s*\n\t\t\t\t\t\t\t(\n\t\t\t\t\t\t\t\t \\(\n\t\t\t\t\t\t\t\t|(?i:\\breturn\\b)\n\t\t\t\t\t\t\t)\n\t\t\t\t\t\t)\n\t\t\t\t\t","end":"(?\u003c=;)","patterns":[{"begin":"\\b(?i:return)(?=\\s+[^;]+\\s*;)","end":"\\;","patterns":[{"include":"#parenthetical_list"},{"include":"#cleanup"}],"beginCaptures":{"0":{"name":"keyword.control.return.vhdl"}},"endCaptures":{"0":{"name":"punctuation.terminator.function_prototype.vhdl"}}},{"include":"#parenthetical_list"},{"include":"#cleanup"}],"beginCaptures":{"1":{"name":"storage.type.function.vhdl"},"3":{"name":"entity.name.function.function.prototype.vhdl"},"4":{"name":"entity.name.function.function.prototype.vhdl"},"5":{"name":"entity.name.function.function.prototype.vhdl"},"6":{"name":"invalid.illegal.function.name.vhdl"}}}]},"generic_list_pattern":{"patterns":[{"name":"meta.block.generic_list.vhdl","begin":"\\b(?i:generic)\\b","end":";","patterns":[{"include":"#parenthetical_list"}],"beginCaptures":{"0":{"name":"keyword.control.generic.vhdl"}},"endCaptures":{"0":{"name":"punctuation.terminator.statement.vhdl"}}}]},"if_pattern":{"patterns":[{"name":"meta.block.if.vhdl","begin":"(?x)\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Optional identifier $2\n\t\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\n\n\t\t\t\t\t\t\t# Followed by a colon $3\n\t\t\t\t\t\t\t\\s*(:)\\s*\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# Keyword if $4\n\t\t\t\t\t\t\\b((?i:if))\\b\n\t\t\t\t\t","end":"(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end))\\s+\n\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t(\n\t\t\t\t\t\t\t\t# Optional generate or if keyword $4\n\t\t\t\t\t\t\t\t ((?i:generate|if))\n\n\t\t\t\t\t\t\t\t# Keyword if or generate required $5\n\t\t\t\t\t\t\t\t|(\\S+)\n\t\t\t\t\t\t\t)\\b\n\t\t\t\t\t\t\t(\n\t\t\t\t\t\t\t\t\\s+\n\t\t\t\t\t\t\t\t(\n\t\t\t\t\t\t\t\t\t# Optional matching identifier $8\n\t\t\t\t\t\t\t\t\t (\\2)\n\n\t\t\t\t\t\t\t\t\t# Mismatched identifier $9\n\t\t\t\t\t\t\t\t\t|(.+?)\n\t\t\t\t\t\t\t\t)\n\t\t\t\t\t\t\t)?\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# Followed by a semicolon\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t","patterns":[{"include":"#control_patterns"},{"include":"#process_pattern"},{"include":"#entity_instantiation_pattern"},{"include":"#component_pattern"},{"include":"#component_instantiation_pattern"},{"include":"#cleanup"}],"beginCaptures":{"2":{"name":"entity.name.tag.if.generate.begin.vhdl"},"3":{"name":"punctuation.separator.vhdl"},"4":{"name":"keyword.control.if.vhdl"}},"endCaptures":{"1":{"name":"keyword.control.end.vhdl"},"4":{"name":"keyword.control.generate.vhdl"},"5":{"name":"invalid.illegal.if.or.generate.required.vhdl"},"8":{"name":"entity.name.tag.if.generate.end.vhdl"},"9":{"name":"invalid.illegal.mismatched.identifier.vhdl"}}}]},"keywords":{"patterns":[{"name":"keyword.control.attributes.vhdl","match":"'(?i:active|ascending|base|delayed|driving|event|high|image|instance|last|left|leftof|length|low|path|pos|pred|quiet|range|reverse|right|rightof|simple|stable|succ|transaction|val|value)\\b"},{"name":"keyword.control.language.vhdl","match":"\\b(?i:abs|access|after|alias|all|and|architecture|array|assert|attribute|begin|block|body|buffer|bus|case|component|configuration|constant|disconnect|downto|else|elsif|end|entity|exit|file|for|function|generate|generic|group|guarded|if|impure|in|inertial|inout|is|label|library|linkage|literal|loop|map|mod|nand|new|next|nor|not|null|of|on|open|or|others|out|package|port|postponed|procedure|process|pure|range|record|register|reject|rem|report|return|rol|ror|select|severity|shared|signal|sla|sll|sra|srl|subtype|then|to|transport|type|unaffected|units|until|use|variable|wait|when|while|with|xnor|xor)\\b"},{"name":"keyword.operator.vhdl","match":"(\\+|\\-|\u003c=|=|=\u003e|:=|\u003e=|\u003e|\u003c|/|\\||\u0026|(\\*{1,2}))"}]},"package_body_pattern":{"patterns":[{"name":"meta.block.package_body.vhdl","begin":"(?x)\n\t\t\t\t\t\t# The word package $1\n\t\t\t\t\t\t\\b((?i:package))\\s+\n\n\t\t\t\t\t\t# ... but we want to be a package body $2\n\t\t\t\t\t\t((?i:body))\\s+\n\n\t\t\t\t\t\t# The valid identifier $4 or the invalid one $5\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z\\d_]*)|(.+?))\\s+\n\n\t\t\t\t\t\t# ... and we end it with an is $6\n\t\t\t\t\t\t((?i:is))\\b\n\t\t\t\t\t","end":"(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end\\b))\n\n\t\t\t\t\t\t# Optional word package $3 body $4\n\t\t\t\t\t\t(\\s+((?i:package))\\s+((?i:body)))?\n\n\t\t\t\t\t\t# Optional identifier $7 or mismatched identifier $8\n\t\t\t\t\t\t(\\s+((\\4)|(.+?)))?(?=\\s*;)","patterns":[{"include":"#function_definition_pattern"},{"include":"#procedure_definition_pattern"},{"include":"#type_pattern"},{"include":"#subtype_pattern"},{"include":"#record_pattern"},{"include":"#cleanup"}],"beginCaptures":{"1":{"name":"storage.type.package.vhdl"},"2":{"name":"keyword.control.body.vhdl"},"4":{"name":"entity.name.section.package_body.begin.vhdl"},"5":{"name":"invalid.illegal.invalid.identifier.vhdl"},"6":{"name":"keyword.control.is.vhdl"}},"endCaptures":{"1":{"name":"keyword.control.end.vhdl"},"3":{"name":"storage.type.package.vhdl"},"4":{"name":"keyword.control.body.vhdl"},"7":{"name":"entity.name.section.package_body.end.vhdl"},"8":{"name":"invalid.illegal.mismatched.identifier.vhdl"}}}]},"package_pattern":{"patterns":[{"name":"meta.block.package.vhdl","begin":"(?x)\n\t\t\t\t\t\t# The word package $1\n\t\t\t\t\t\t\\b((?i:package))\\s+\n\n\t\t\t\t\t\t# ... but we do not want to be a package body\n\t\t\t\t\t\t(?!(?i:body))\n\n\t\t\t\t\t\t# The valid identifier $3 or the invalid one $4\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z\\d_]*)|(.+?))\\s+\n\n\t\t\t\t\t\t# ... and we end it with an is $5\n\t\t\t\t\t\t((?i:is))\\b\n\t\t\t\t\t","end":"(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end\\b))\n\n\t\t\t\t\t\t# Optional word package $3\n\t\t\t\t\t\t(\\s+((?i:package)))?\n\n\t\t\t\t\t\t# Optional identifier $6 or mismatched identifier $7\n\t\t\t\t\t\t(\\s+((\\2)|(.+?)))?(?=\\s*;)","patterns":[{"include":"#function_prototype_pattern"},{"include":"#procedure_prototype_pattern"},{"include":"#type_pattern"},{"include":"#subtype_pattern"},{"include":"#record_pattern"},{"include":"#component_pattern"},{"include":"#cleanup"}],"beginCaptures":{"1":{"name":"storage.type.package.vhdl"},"3":{"name":"entity.name.section.package.begin.vhdl"},"4":{"name":"invalid.illegal.invalid.identifier.vhdl"},"5":{"name":"keyword.control.is.vhdl"}},"endCaptures":{"1":{"name":"keyword.control.end.vhdl"},"3":{"name":"storage.type.package.vhdl"},"6":{"name":"entity.name.section.package.end.vhdl"},"7":{"name":"invalid.illegal.mismatched.identifier.vhdl"}}}]},"parenthetical_list":{"patterns":[{"name":"meta.block.parenthetical_list.vhdl","begin":"\\(","end":"(?\u003c=\\))","patterns":[{"name":"meta.list.element.vhdl","begin":"(?=['\"a-zA-Z0-9])","end":"(;|\\)|,)","patterns":[{"include":"#comments"},{"include":"#parenthetical_pair"},{"include":"#cleanup"}],"endCaptures":{"0":{"name":"meta.item.stopping.character.vhdl"}}},{"name":"invalid.illegal.unexpected.parenthesis.vhdl","match":"\\)"},{"include":"#cleanup"}],"beginCaptures":{"0":{"name":"punctuation.definition.parenthetical_list.begin.vhdl"}}}]},"parenthetical_pair":{"patterns":[{"name":"meta.block.parenthetical_pair.vhdl","begin":"\\(","end":"\\)","patterns":[{"include":"#parenthetical_pair"},{"include":"#cleanup"}],"beginCaptures":{"0":{"name":"punctuation.section.scope.begin.vhdl"}},"endCaptures":{"0":{"name":"punctuation.section.scope.end.vhdl"}}}]},"port_list_pattern":{"patterns":[{"name":"meta.block.port_list.vhdl","begin":"\\b(?i:port)\\b","end":";","patterns":[{"include":"#parenthetical_list"}],"beginCaptures":{"0":{"name":"keyword.control.port.vhdl"}},"endCaptures":{"0":{"name":"punctuation.terminator.statement.vhdl"}}}]},"procedure_definition_pattern":{"patterns":[{"name":"meta.block.procedure_definition.vhdl","begin":"(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word function $1\n\t\t\t\t\t\t((?i:procedure))\\s+\n\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# A valid normal identifier $3\n\t\t\t\t\t\t\t ([a-zA-Z][a-zA-Z\\d_]*)\n\t\t\t\t\t\t\t# A valid quoted identifier $4\n\t\t\t\t\t\t\t|(\"\\S+\")\n\t\t\t\t\t\t\t# An invalid identifier $5\n\t\t\t\t\t\t\t|(.+?)\n\t\t\t\t\t\t)\n\n\t\t\t\t\t\t# Check to make sure we have a list is\n\t\t\t\t\t\t(?=\\s*(\\(|(?i:is)))\n\t\t\t\t\t","end":"(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t((?i:end))\n\n\t\t\t\t\t\t# Optional word function $3\n\t\t\t\t\t\t(\\s+((?i:procedure)))?\n\n\t\t\t\t\t\t# Optional matched identifier $6 or mismatched identifier $7\n\t\t\t\t\t\t(\\s+((\\3|\\4)|(.+?)))?\n\n\t\t\t\t\t\t# Ending with whitespace and semicolon\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t","patterns":[{"include":"#parenthetical_list"},{"include":"#control_patterns"},{"include":"#type_pattern"},{"include":"#record_pattern"},{"include":"#cleanup"}],"beginCaptures":{"1":{"name":"storage.type.procedure.vhdl"},"3":{"name":"entity.name.function.procedure.begin.vhdl"},"4":{"name":"entity.name.function.procedure.begin.vhdl"},"5":{"name":"invalid.illegal.invalid.identifier.vhdl"}},"endCaptures":{"1":{"name":"keyword.control.end.vhdl"},"3":{"name":"storage.type.procedure.vhdl"},"6":{"name":"entity.name.function.procedure.end.vhdl"},"7":{"name":"invalid.illegal.mismatched.identifier.vhdl"}}}]},"procedure_prototype_pattern":{"patterns":[{"name":"meta.block.procedure_prototype.vhdl","begin":"(?x)\n\t\t\t\t\t\t\\b((?i:procedure))\\s+\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))\n\t\t\t\t\t\t(?=\\s*(\\(|;))\n\t\t\t\t\t","end":";","patterns":[{"include":"#parenthetical_list"}],"beginCaptures":{"1":{"name":"storage.type.procedure.vhdl"},"3":{"name":"entity.name.function.procedure.begin.vhdl"},"4":{"name":"invalid.illegal.invalid.identifier.vhdl"}},"endCaptures":{"0":{"name":"punctual.vhdl"}}}]},"process_pattern":{"patterns":[{"name":"meta.block.process.vhdl","begin":"(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Optional identifier $2\n\t\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\n\n\t\t\t\t\t\t\t# Colon $3\n\t\t\t\t\t\t\t\\s*(:)\\s*\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# The word process #4\n\t\t\t\t\t\t((?i:process))\n\t\t\t\t\t","end":"(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t((?i:end))\n\n\t\t\t\t\t\t# Optional word process $3\n\t\t\t\t\t\t(\\s+((?i:process)))\n\n\t\t\t\t\t\t# Optional identifier $6 or invalid identifier $7\n\t\t\t\t\t\t(\\s+((\\2)|(.+?)))?\n\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t","patterns":[{"include":"#control_patterns"},{"include":"#cleanup"}],"beginCaptures":{"2":{"name":"entity.name.section.process.begin.vhdl"},"3":{"name":"punctuation.separator.vhdl"},"4":{"name":"keyword.control.process.vhdl"}},"endCaptures":{"1":{"name":"keyword.control.end.vhdl"},"3":{"name":"keyword.control.process.vhdl"},"6":{"name":"entity.name.section.process.end.vhdl"},"7":{"name":"invalid.illegal.invalid.identifier.vhdl"}}}]},"punctuation":{"patterns":[{"name":"punctuation.definition.other.vhdl","match":"(\\.|,|:|;|\\(|\\))"}]},"record_pattern":{"patterns":[{"name":"meta.block.record.vhdl","begin":"\\b(?i:record)\\b","end":"(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end))\n\n\t\t\t\t\t\t# The word record $2\n\t\t\t\t\t\t\\s+((?i:record))\n\n\t\t\t\t\t\t# Optional identifier $5 or invalid identifier $6\n\t\t\t\t\t\t(\\s+(([a-zA-Z][a-zA-Z\\d_]*)|(.*?)))?\n\n\t\t\t\t\t\t# Only whitespace and semicolons can be left\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t","patterns":[{"include":"#cleanup"}],"beginCaptures":{"0":{"name":"storage.type.record.vhdl"}},"endCaptures":{"1":{"name":"keyword.control.end.vhdl"},"2":{"name":"storage.type.record.vhdl"},"5":{"name":"entity.name.type.record.vhdl"},"6":{"name":"invalid.illegal.invalid.identifier.vhdl"}}},{"include":"#cleanup"}]},"strings":{"patterns":[{"name":"string.quoted.single.vhdl","match":"(').(')","captures":{"1":{"name":"punctuation.definition.string.begin.vhdl"},"2":{"name":"punctuation.definition.string.end.vhdl"}}},{"name":"string.quoted.double.vhdl","begin":"\"","end":"\"","patterns":[{"name":"constant.character.escape.vhdl","match":"\\\\."}],"beginCaptures":{"0":{"name":"punctuation.definition.string.begin.vhdl"}},"endCaptures":{"0":{"name":"punctuation.definition.string.end.vhdl"}}},{"name":"string.other.backslash.vhdl","begin":"\\\\","end":"\\\\"}]},"subtype_pattern":{"patterns":[{"name":"meta.block.subtype.vhdl","begin":"(?x)\n\t\t\t\t\t\t# The word subtype $1\n\t\t\t\t\t\t\\b((?i:subtype))\\s+\n\n\t\t\t\t\t\t# Valid identifier $3 or invalid identifier $4\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))\\s+\n\n\t\t\t\t\t\t# The word is $5\n\t\t\t\t\t\t((?i:is))\\b\n\t\t\t\t\t","end":";","patterns":[{"include":"#cleanup"}],"beginCaptures":{"1":{"name":"keyword.control.subtype.vhdl"},"3":{"name":"entity.name.type.subtype.vhdl"},"4":{"name":"invalid.illegal.invalid.identifier.vhdl"},"5":{"name":"keyword.control.is.vhdl"}},"endCaptures":{"0":{"name":"punctuation.terminator.statement.vhdl"}}}]},"support_constants":{"patterns":[{"name":"support.constant.ieee.math_real.vhdl","match":"\\b(?i:math_1_over_e|math_1_over_pi|math_1_over_sqrt_2|math_2_pi|math_3_pi_over_2|math_deg_to_rad|math_e|math_log10_of_e|math_log2_of_e|math_log_of_10|math_log_of_2|math_pi|math_pi_over_2|math_pi_over_3|math_pi_over_4|math_rad_to_deg|math_sqrt_2|math_sqrt_pi)\\b"},{"name":"support.constant.ieee.math_complex.vhdl","match":"\\b(?i:math_cbase_1|math_cbase_j|math_czero|positive_real|principal_value)\\b"},{"name":"support.constant.std.standard.vhdl","match":"\\b(?i:true|false)\\b"}]},"support_functions":{"patterns":[{"name":"support.function.std.env.vhdl","match":"\\b(?i:finish|stop|resolution_limit)\\b"},{"name":"support.function.std.textio.vhdl","match":"\\b(?i:readline|read|writeline|write|endfile|endline)\\b"},{"name":"support.function.ieee.std_logic_1164.vhdl","match":"\\b(?i:rising_edge|falling_edge|to_bit|to_bitvector|to_stdulogic|to_stdlogicvector|to_stdulogicvector|is_x)\\b"},{"name":"support.function.ieee.numeric_std.vhdl","match":"\\b(?i:shift_left|shift_right|rotate_left|rotate_right|resize|to_integer|to_unsigned|to_signed)\\b"},{"name":"support.function.ieee.math_real.vhdl","match":"\\b(?i:arccos(h?)|arcsin(h?)|arctan|arctanh|cbrt|ceil|cos|cosh|exp|floor|log10|log2|log|realmax|realmin|round|sign|sin|sinh|sqrt|tan|tanh|trunc)\\b"},{"name":"support.function.ieee.math_complex.vhdl","match":"\\b(?i:arg|cmplx|complex_to_polar|conj|get_principal_value|polar_to_complex)\\b"}]},"support_types":{"patterns":[{"name":"support.type.std.standard.vhdl","match":"\\b(?i:boolean|bit|character|severity_level|integer|real|time|delay_length|now|natural|positive|string|bit_vector|file_open_kind|file_open_status|fs|ps|ns|us|ms|sec|min|hr|severity_level|note|warning|error|failure)\\b"},{"name":"support.type.std.textio.vhdl","match":"\\b(?i:line|text|side|width|input|output)\\b"},{"name":"support.type.ieee.std_logic_1164.vhdl","match":"\\b(?i:std_logic|std_ulogic|std_logic_vector|std_ulogic_vector)\\b"},{"name":"support.type.ieee.numeric_std.vhdl","match":"\\b(?i:signed|unsigned)\\b"},{"name":"support.type.ieee.math_complex.vhdl","match":"\\b(?i:complex|complex_polar)\\b"}]},"syntax_highlighting":{"patterns":[{"include":"#keywords"},{"include":"#punctuation"},{"include":"#support_constants"},{"include":"#support_types"},{"include":"#support_functions"}]},"type_pattern":{"patterns":[{"name":"meta.block.type.vhdl","begin":"(?x)\n\t\t\t\t\t\t# The word type $1\n\t\t\t\t\t\t\\b((?i:type))\\s+\n\n\t\t\t\t\t\t# Valid identifier $3 or invalid identifier $4\n\t\t\t\t\t\t(([a-zA-Z][a-zA-Z0-9_]*)|(.+?))\n\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# A semicolon is coming up if we are incomplete\n\t\t\t\t\t\t\t (?=\\s*;)\n\n\t\t\t\t\t\t\t# Or the word is comes up $7\n\t\t\t\t\t\t\t|(\\s+((?i:is)))\n\t\t\t\t\t\t)\\b\n\t\t\t\t\t","end":";","patterns":[{"include":"#record_pattern"},{"include":"#cleanup"}],"beginCaptures":{"1":{"name":"keyword.control.type.vhdl"},"3":{"name":"entity.name.type.type.vhdl"},"4":{"name":"invalid.illegal.invalid.identifier.vhdl"},"7":{"name":"keyword.control.is.vhdl"}},"endCaptures":{"0":{"name":"punctuation.terminator.statement.vhdl"}}}]},"while_pattern":{"patterns":[{"name":"meta.block.while.vhdl","begin":"(?x)\n\t\t\t\t\t\t# From the beginning of the line\n\t\t\t\t\t\t^\\s*\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Check for an identifier $2\n\t\t\t\t\t\t\t([a-zA-Z][a-zA-Z0-9_]*)\n\n\t\t\t\t\t\t\t# Followed by a colon $3\n\t\t\t\t\t\t\t\\s*(:)\\s*\n\t\t\t\t\t\t)?\n\n\t\t\t\t\t\t# The for keyword $4\n\t\t\t\t\t\t\\b((?i:while))\\b\n\t\t\t\t\t","end":"(?x)\n\t\t\t\t\t\t# The word end $1\n\t\t\t\t\t\t\\b((?i:end))\\s+\n\t\t\t\t\t\t(\n\t\t\t\t\t\t\t# Followed by keyword loop $3\n\t\t\t\t\t\t\t ((?i:loop))\n\n\t\t\t\t\t\t\t# But it really is required $4\n\t\t\t\t\t\t\t|(\\S+)\n\t\t\t\t\t\t)\\b\n\n\t\t\t\t\t\t# The matching identifier $7 or an invalid identifier $8\n\t\t\t\t\t\t(\\s+((\\2)|(.+?)))?\n\n\t\t\t\t\t\t# Only space and a semicolon left\n\t\t\t\t\t\t(?=\\s*;)\n\t\t\t\t\t","patterns":[{"include":"#control_patterns"},{"include":"#cleanup"}],"beginCaptures":{"2":{"name":"entity.name.type.vhdl"},"3":{"name":"punctuation.separator.vhdl"},"4":{"name":"keyword.control.while.vhdl"}},"endCaptures":{"1":{"name":"keyword.control.end.vhdl"},"3":{"name":"keyword.control.loop.vhdl"},"4":{"name":"invalid.illegal.loop.keyword.required.vhdl"},"7":{"name":"entity.name.tag.while.loop.vhdl"},"8":{"name":"invalid.illegal.mismatched.identifier"}}}]}}}