github-linguist 7.11.0 → 7.13.0

Sign up to get free protection for your applications and to get access to all the features.
Files changed (598) hide show
  1. checksums.yaml +4 -4
  2. data/ext/linguist/lex.linguist_yy.c +1123 -623
  3. data/ext/linguist/lex.linguist_yy.h +433 -66
  4. data/ext/linguist/linguist.c +5 -35
  5. data/ext/linguist/linguist.h +0 -18
  6. data/ext/linguist/tokenizer.l +83 -65
  7. data/grammars/annotation.liquidhaskell.haskell.json +1 -1835
  8. data/grammars/config.xcompose.json +1 -80
  9. data/grammars/documentation.markdown.injection.haxe.json +1 -22
  10. data/grammars/etc.json +1 -987
  11. data/grammars/file.lasso.json +1 -702
  12. data/grammars/hidden.manref.json +1 -29
  13. data/grammars/hidden.regexp.injection-shell.json +1 -28
  14. data/grammars/hint.haskell.json +1 -1762
  15. data/grammars/hint.message.haskell.json +1 -1786
  16. data/grammars/hint.type.haskell.json +1 -1759
  17. data/grammars/injections.etc.json +1 -659
  18. data/grammars/inline.prisma.json +1 -55
  19. data/grammars/markdown.hack.codeblock.json +1 -20
  20. data/grammars/markdown.haxe.codeblock.json +1 -26
  21. data/grammars/markdown.hxml.codeblock.json +1 -26
  22. data/grammars/markdown.lean.codeblock.json +1 -0
  23. data/grammars/markdown.plantuml.codeblock.json +1 -26
  24. data/grammars/objdump.x86asm.json +1 -69
  25. data/grammars/source.4dm.json +1 -1156
  26. data/grammars/source.SASLog.json +1 -18
  27. data/grammars/source.abap.json +1 -335
  28. data/grammars/source.abapcds.json +1 -0
  29. data/grammars/source.abl.json +1 -734
  30. data/grammars/source.abnf.json +1 -294
  31. data/grammars/source.actionscript.3.json +1 -1538
  32. data/grammars/source.acucobol.json +1 -13
  33. data/grammars/source.ada.json +1 -133
  34. data/grammars/source.afm.json +1 -1207
  35. data/grammars/source.agc.json +1 -87
  36. data/grammars/source.agda.json +1 -72
  37. data/grammars/source.ahk.json +1 -195
  38. data/grammars/source.al.json +1 -130
  39. data/grammars/source.alloy.json +1 -40
  40. data/grammars/source.ampl.json +1 -151
  41. data/grammars/source.angelscript.json +1 -186
  42. data/grammars/source.antlr.json +1 -295
  43. data/grammars/source.apache-config.json +1 -346
  44. data/grammars/source.apache-config.mod_perl.json +1 -65
  45. data/grammars/source.apl.json +1 -1427
  46. data/grammars/source.applescript.json +1 -1384
  47. data/grammars/source.asl.json +1 -56
  48. data/grammars/source.asn.json +1 -55
  49. data/grammars/source.asp.json +1 -151
  50. data/grammars/source.aspectj.json +1 -960
  51. data/grammars/source.assembly.json +1 -116
  52. data/grammars/source.ats.json +1 -164
  53. data/grammars/source.autoit.json +1 -167
  54. data/grammars/source.avro.json +1 -381
  55. data/grammars/source.awk.json +1 -384
  56. data/grammars/source.ballerina.json +1 -1785
  57. data/grammars/source.batchfile.json +1 -732
  58. data/grammars/source.bdf.json +1 -425
  59. data/grammars/source.befunge.json +1 -34
  60. data/grammars/source.bf.json +1 -30
  61. data/grammars/source.blitzmax.json +1 -905
  62. data/grammars/source.bnf.json +1 -191
  63. data/grammars/source.boo.json +1 -448
  64. data/grammars/source.boogie.json +1 -0
  65. data/grammars/source.brightauthorproject.json +1 -24
  66. data/grammars/source.brightscript.json +1 -148
  67. data/grammars/source.bsl.json +1 -451
  68. data/grammars/source.bsv.json +1 -277
  69. data/grammars/source.c++.json +1 -436
  70. data/grammars/source.c++.qt.json +1 -29
  71. data/grammars/source.c.ec.json +1 -33
  72. data/grammars/source.c.json +1 -712
  73. data/grammars/source.c.nwscript.json +1 -0
  74. data/grammars/source.c.platform.json +1 -1115
  75. data/grammars/source.c2hs.json +1 -24
  76. data/grammars/source.cabal.json +1 -63
  77. data/grammars/source.cache.cmake.json +1 -70
  78. data/grammars/source.cake.json +1 -13
  79. data/grammars/source.camlp4.ocaml.json +1 -60
  80. data/grammars/source.capnp.json +1 -88
  81. data/grammars/source.ceylon.json +1 -93
  82. data/grammars/source.cfscript.cfc.json +1 -162
  83. data/grammars/source.cfscript.json +1 -737
  84. data/grammars/source.changelogs.rpm-spec.json +1 -91
  85. data/grammars/source.chapel.json +1 -265
  86. data/grammars/source.cirru.json +1 -61
  87. data/grammars/source.clarion.json +1 -146
  88. data/grammars/source.clean.json +1 -222
  89. data/grammars/source.click.json +1 -107
  90. data/grammars/source.clips.json +1 -67
  91. data/grammars/source.clojure.json +1 -429
  92. data/grammars/source.cm.json +1 -49
  93. data/grammars/source.cmake.json +1 -173
  94. data/grammars/source.cobol.json +1 -485
  95. data/grammars/source.coffee.json +1 -1310
  96. data/grammars/source.cool.json +1 -96
  97. data/grammars/source.coq.json +1 -58
  98. data/grammars/source.crystal.json +1 -2694
  99. data/grammars/source.cs.json +1 -4323
  100. data/grammars/source.csound-document.json +1 -175
  101. data/grammars/source.csound-score.json +1 -191
  102. data/grammars/source.csound.json +1 -824
  103. data/grammars/source.css.json +1 -1859
  104. data/grammars/source.css.less.json +1 -536
  105. data/grammars/source.css.mss.json +1 -249
  106. data/grammars/source.css.postcss.sugarss.json +1 -260
  107. data/grammars/source.css.scss.json +1 -1873
  108. data/grammars/source.csx.json +1 -13
  109. data/grammars/source.cuda-c++.json +1 -103
  110. data/grammars/source.curlrc.json +1 -984
  111. data/grammars/source.cwl.json +1 -103
  112. data/grammars/source.cython.json +1 -1912
  113. data/grammars/source.d.json +1 -741
  114. data/grammars/source.dart.json +1 -433
  115. data/grammars/source.data-weave.json +1 -1580
  116. data/grammars/source.desktop.json +1 -86
  117. data/grammars/source.diff.json +1 -153
  118. data/grammars/source.dircolors.json +1 -137
  119. data/grammars/source.direct-x.json +1 -135
  120. data/grammars/source.disasm.json +1 -30
  121. data/grammars/source.ditroff.desc.json +1 -411
  122. data/grammars/source.ditroff.json +1 -1280
  123. data/grammars/source.dm.json +1 -628
  124. data/grammars/source.dmf.json +1 -96
  125. data/grammars/source.dockerfile.json +1 -88
  126. data/grammars/source.dosbox-conf.json +1 -97
  127. data/grammars/source.dot.json +1 -117
  128. data/grammars/source.dylan.json +1 -212
  129. data/grammars/source.ebnf.json +1 -240
  130. data/grammars/source.editorconfig.json +1 -365
  131. data/grammars/source.eiffel.json +1 -133
  132. data/grammars/source.elixir.json +1 -965
  133. data/grammars/source.elm.json +1 -375
  134. data/grammars/source.emacs.lisp.json +1 -1301
  135. data/grammars/source.erlang.json +1 -1647
  136. data/grammars/source.essl.json +1 -37
  137. data/grammars/source.factor.json +1 -252
  138. data/grammars/source.fan.json +1 -341
  139. data/grammars/source.fancy.json +1 -245
  140. data/grammars/source.faust.json +1 -119
  141. data/grammars/source.figctrl.json +1 -252
  142. data/grammars/source.figfont.json +1 -121
  143. data/grammars/source.firestore.json +1 -289
  144. data/grammars/source.fish.json +1 -190
  145. data/grammars/source.fontdir.json +1 -99
  146. data/grammars/source.fontforge.json +1 -355
  147. data/grammars/source.forth.json +1 -84
  148. data/grammars/source.fortran.json +1 -464
  149. data/grammars/source.fortran.modern.json +1 -200
  150. data/grammars/source.fsharp.fsi.json +1 -9
  151. data/grammars/source.fsharp.fsl.json +1 -9
  152. data/grammars/source.fsharp.fsx.json +1 -13
  153. data/grammars/source.fsharp.json +1 -452
  154. data/grammars/source.fstar.json +1 -439
  155. data/grammars/source.futhark.json +1 -167
  156. data/grammars/source.gap.json +1 -163
  157. data/grammars/source.gcode.json +1 -176
  158. data/grammars/source.gdb.json +1 -160
  159. data/grammars/source.gdb.session.json +1 -70
  160. data/grammars/source.gdbregs.json +1 -14
  161. data/grammars/source.gdscript.json +1 -245
  162. data/grammars/source.gedcom.json +1 -196
  163. data/grammars/source.generic-db.json +1 -41
  164. data/grammars/source.gerber.json +1 -321
  165. data/grammars/source.gf.json +1 -51
  166. data/grammars/source.gfm.blade.json +1 -15
  167. data/grammars/source.gfm.json +1 -1913
  168. data/grammars/source.gitattributes.json +1 -111
  169. data/grammars/source.gitconfig.json +1 -450
  170. data/grammars/source.gitignore.json +1 -140
  171. data/grammars/source.glsl.json +1 -139
  172. data/grammars/source.gn.json +1 -345
  173. data/grammars/source.gnuplot.json +1 -260
  174. data/grammars/source.go.json +1 -540
  175. data/grammars/source.golo.json +1 -177
  176. data/grammars/source.gosu.2.json +1 -153
  177. data/grammars/source.grace.json +1 -675
  178. data/grammars/source.graphql.json +1 -978
  179. data/grammars/source.gremlin.json +1 -200
  180. data/grammars/source.groovy.gradle.json +1 -69
  181. data/grammars/source.groovy.json +1 -1374
  182. data/grammars/source.hack.json +1 -2595
  183. data/grammars/source.haproxy-config.json +1 -152
  184. data/grammars/source.harbour.json +1 -388
  185. data/grammars/source.haskell.json +1 -1760
  186. data/grammars/source.hc.json +1 -709
  187. data/grammars/source.hgignore.json +1 -34
  188. data/grammars/source.hlsl.json +1 -211
  189. data/grammars/source.hosts.json +1 -40
  190. data/grammars/source.hql.json +1 -492
  191. data/grammars/source.hsc2hs.json +1 -9
  192. data/grammars/source.hsig.json +1 -1760
  193. data/grammars/source.httpspec.json +1 -283
  194. data/grammars/source.hx.argument.json +1 -80
  195. data/grammars/source.hx.json +1 -2428
  196. data/grammars/source.hx.type.json +1 -8
  197. data/grammars/source.hxml.json +1 -59
  198. data/grammars/source.hy.json +1 -429
  199. data/grammars/source.ideal.json +1 -356
  200. data/grammars/source.idl-dlm.json +1 -105
  201. data/grammars/source.idl.json +1 -246
  202. data/grammars/source.idris.json +1 -523
  203. data/grammars/source.igor.json +1 -369
  204. data/grammars/source.inform7.json +1 -183
  205. data/grammars/source.ini.json +1 -107
  206. data/grammars/source.ini.npmrc.json +1 -311
  207. data/grammars/source.inno.json +1 -133
  208. data/grammars/source.inputrc.json +1 -500
  209. data/grammars/source.io.json +1 -156
  210. data/grammars/source.ioke.json +1 -266
  211. data/grammars/source.isabelle.root.json +1 -64
  212. data/grammars/source.isabelle.theory.json +1 -102
  213. data/grammars/source.j.json +1 -260
  214. data/grammars/source.jasmin.json +1 -294
  215. data/grammars/source.java-properties.json +1 -87
  216. data/grammars/source.java.json +1 -1020
  217. data/grammars/source.jcl.json +1 -46
  218. data/grammars/source.jflex.json +1 -484
  219. data/grammars/source.jison.json +1 -711
  220. data/grammars/source.jisonlex-injection.json +1 -10
  221. data/grammars/source.jisonlex.json +1 -434
  222. data/grammars/source.jolie.json +1 -132
  223. data/grammars/source.jq.json +1 -211
  224. data/grammars/source.js.json +1 -1897
  225. data/grammars/source.js.objj.json +1 -419
  226. data/grammars/source.js.regexp.json +1 -152
  227. data/grammars/source.js.regexp.replacement.json +1 -26
  228. data/grammars/source.jsdoc.json +1 -460
  229. data/grammars/source.json.json +1 -139
  230. data/grammars/source.jsonnet.json +1 -197
  231. data/grammars/source.julia.console.json +1 -51
  232. data/grammars/source.julia.json +1 -991
  233. data/grammars/source.kotlin.json +1 -704
  234. data/grammars/source.lark.json +1 -0
  235. data/grammars/source.lbnf.json +1 -0
  236. data/grammars/source.lcov.json +1 -398
  237. data/grammars/source.lean.json +1 -226
  238. data/grammars/source.lean.markdown.json +1 -2598
  239. data/grammars/source.lex.json +1 -232
  240. data/grammars/source.lex.regexp.json +1 -269
  241. data/grammars/source.lid.json +1 -19
  242. data/grammars/source.lilypond.json +1 -869
  243. data/grammars/source.lisp.json +1 -101
  244. data/grammars/source.litcoffee.json +1 -654
  245. data/grammars/source.livescript.json +1 -603
  246. data/grammars/source.llvm.json +1 -61
  247. data/grammars/source.logos.json +1 -24
  248. data/grammars/source.logtalk.json +1 -310
  249. data/grammars/source.loomscript.json +1 -208
  250. data/grammars/source.lsl.json +1 -241
  251. data/grammars/source.ltspice.symbol.json +1 -294
  252. data/grammars/source.lua.json +1 -275
  253. data/grammars/source.m2.json +1 -125
  254. data/grammars/source.m4.json +1 -229
  255. data/grammars/source.m68k.json +1 -412
  256. data/grammars/source.mailmap.json +1 -28
  257. data/grammars/source.makefile.json +1 -470
  258. data/grammars/source.makegen.json +1 -9
  259. data/grammars/source.man-conf.json +1 -153
  260. data/grammars/source.mask.json +1 -816
  261. data/grammars/source.mata.json +1 -125
  262. data/grammars/source.mathematica.json +1 -416
  263. data/grammars/source.matlab.json +1 -1096
  264. data/grammars/source.maxscript.json +1 -465
  265. data/grammars/source.mcfunction-snapshot.json +1 -24480
  266. data/grammars/source.mcfunction.json +1 -1354
  267. data/grammars/source.mercury.json +1 -246
  268. data/grammars/source.meson.json +1 -165
  269. data/grammars/source.meta-info.json +1 -146
  270. data/grammars/source.ml.json +1 -285
  271. data/grammars/source.mlir.json +1 -109
  272. data/grammars/source.modelica.json +1 -142
  273. data/grammars/source.modula-3.json +1 -88
  274. data/grammars/source.modula2.json +1 -94
  275. data/grammars/source.monkey.json +1 -694
  276. data/grammars/source.moonscript.json +1 -144
  277. data/grammars/source.mql5.json +1 -187
  278. data/grammars/source.msl.json +1 -755
  279. data/grammars/source.mupad.json +1 -131
  280. data/grammars/source.nanorc.json +1 -333
  281. data/grammars/source.nasl.json +1 -155
  282. data/grammars/source.ncl.json +1 -53
  283. data/grammars/source.ne.json +1 -105
  284. data/grammars/source.nemerle.json +1 -132
  285. data/grammars/source.neon.json +1 -381
  286. data/grammars/source.nesc.json +1 -21
  287. data/grammars/source.netlinx.erb.json +1 -34
  288. data/grammars/source.netlinx.json +1 -704
  289. data/grammars/source.nextflow-groovy.json +1 -1359
  290. data/grammars/source.nextflow.json +1 -94
  291. data/grammars/source.nginx.json +1 -815
  292. data/grammars/source.nim.comment.json +1 -82
  293. data/grammars/source.nim.json +1 -1083
  294. data/grammars/source.nim_filter.json +1 -113
  295. data/grammars/source.nimcfg.json +1 -103
  296. data/grammars/source.ninja.json +1 -248
  297. data/grammars/source.nit.json +1 -234
  298. data/grammars/source.nix.json +1 -1196
  299. data/grammars/source.nsis.json +1 -152
  300. data/grammars/source.nu.json +1 -516
  301. data/grammars/source.nunjucks.json +1 -0
  302. data/grammars/source.nut.json +1 -262
  303. data/grammars/source.objc++.json +1 -12
  304. data/grammars/source.objc.json +1 -910
  305. data/grammars/source.objc.platform.json +1 -842
  306. data/grammars/source.objectscript.json +1 -309
  307. data/grammars/source.objectscript_class.json +1 -674
  308. data/grammars/source.objectscript_csp.json +1 -19
  309. data/grammars/source.objectscript_macros.json +1 -226
  310. data/grammars/source.ocaml.json +1 -1418
  311. data/grammars/source.ocamllex.json +1 -298
  312. data/grammars/source.ocamlyacc.json +1 -339
  313. data/grammars/source.odin-ehr.json +1 -806
  314. data/grammars/source.odin.json +1 -402
  315. data/grammars/source.ooc.json +1 -685
  316. data/grammars/source.opa.json +1 -196
  317. data/grammars/source.opal.json +1 -410
  318. data/grammars/source.opalsysdefs.json +1 -66
  319. data/grammars/source.openbsd-pkg.contents.json +1 -128
  320. data/grammars/source.opencobol.json +1 -62
  321. data/grammars/source.opentype.json +1 -448
  322. data/grammars/source.opts.json +1 -108
  323. data/grammars/source.ox.json +1 -89
  324. data/grammars/source.oz.json +1 -77
  325. data/grammars/source.p4.json +1 -122
  326. data/grammars/source.paket.dependencies.json +1 -0
  327. data/grammars/source.paket.lock.json +1 -0
  328. data/grammars/source.pan.json +1 -706
  329. data/grammars/source.papyrus.skyrim.json +1 -1272
  330. data/grammars/source.parrot.pir.json +1 -145
  331. data/grammars/source.pascal.json +1 -143
  332. data/grammars/source.pawn.json +1 -1194
  333. data/grammars/source.pcb.board.json +1 -285
  334. data/grammars/source.pcb.schematic.json +1 -424
  335. data/grammars/source.pcb.sexp.json +1 -232
  336. data/grammars/source.pep8.json +1 -118
  337. data/grammars/source.perl.6.json +1 -309
  338. data/grammars/source.perl.json +1 -2519
  339. data/grammars/source.php.zephir.json +1 -353
  340. data/grammars/source.pic.json +1 -908
  341. data/grammars/source.pig_latin.json +1 -168
  342. data/grammars/source.pike.json +1 -49
  343. data/grammars/source.plist.json +1 -336
  344. data/grammars/source.po.json +1 -222
  345. data/grammars/source.pogoscript.json +1 -79
  346. data/grammars/source.pony.json +1 -245
  347. data/grammars/source.postcss.json +1 -370
  348. data/grammars/source.postscript.json +1 -477
  349. data/grammars/source.pov-ray sdl.json +1 -82
  350. data/grammars/source.powershell.json +1 -981
  351. data/grammars/source.prisma.json +1 -435
  352. data/grammars/source.processing.json +1 -872
  353. data/grammars/source.prolog.eclipse.json +1 -201
  354. data/grammars/source.prolog.json +1 -286
  355. data/grammars/source.protobuf.json +1 -471
  356. data/grammars/source.puppet.json +1 -433
  357. data/grammars/source.purescript.json +1 -861
  358. data/grammars/source.pyjade.json +1 -996
  359. data/grammars/source.python.django.json +1 -29
  360. data/grammars/source.python.json +1 -5292
  361. data/grammars/source.python.salt.json +1 -9
  362. data/grammars/source.q.json +1 -215
  363. data/grammars/source.q_output.json +1 -348
  364. data/grammars/source.qasm.json +1 -66
  365. data/grammars/source.ql.json +1 -1408
  366. data/grammars/source.qmake.json +1 -210
  367. data/grammars/source.qml.json +1 -174
  368. data/grammars/source.qsharp.json +1 -120
  369. data/grammars/source.quake.json +1 -44
  370. data/grammars/source.quoting.raku.json +1 -0
  371. data/grammars/source.r.json +1 -194
  372. data/grammars/source.racket.json +1 -98
  373. data/grammars/source.raku.json +1 -0
  374. data/grammars/source.rascal.json +1 -283
  375. data/grammars/source.reason.hover.type.json +1 -14
  376. data/grammars/source.reason.json +1 -3382
  377. data/grammars/source.rebol.json +1 -637
  378. data/grammars/source.record-jar.json +1 -0
  379. data/grammars/source.red.json +1 -644
  380. data/grammars/source.reg.json +1 -159
  381. data/grammars/source.regexp.extended.json +1 -558
  382. data/grammars/source.regexp.json +1 -1434
  383. data/grammars/source.regexp.posix.json +1 -0
  384. data/grammars/source.regexp.python.json +1 -490
  385. data/grammars/source.regexp.raku.json +1 -0
  386. data/grammars/source.regexp.spin.json +1 -179
  387. data/grammars/source.rego.json +1 -124
  388. data/grammars/source.renpy.json +1 -1948
  389. data/grammars/source.rescript.json +1 -0
  390. data/grammars/source.rexx.json +1 -52
  391. data/grammars/source.ring.json +1 -247
  392. data/grammars/source.rpm-spec.json +1 -765
  393. data/grammars/source.ruby.gemfile.json +1 -24
  394. data/grammars/source.ruby.json +1 -2605
  395. data/grammars/source.ruby.rspec.cucumber.steps.json +1 -261
  396. data/grammars/source.rust.json +1 -629
  397. data/grammars/source.sas.json +1 -286
  398. data/grammars/source.sass.json +1 -1089
  399. data/grammars/source.sassdoc.json +1 -346
  400. data/grammars/source.scad.json +1 -145
  401. data/grammars/source.scala.json +1 -1121
  402. data/grammars/source.scaml.json +1 -451
  403. data/grammars/source.scheme.json +1 -353
  404. data/grammars/source.scilab.json +1 -96
  405. data/grammars/source.sdbl.json +1 -90
  406. data/grammars/source.sed.json +1 -1520
  407. data/grammars/source.shaderlab.json +1 -198
  408. data/grammars/source.shell.json +1 -1272
  409. data/grammars/source.shen.json +1 -143
  410. data/grammars/source.sieve.json +1 -383
  411. data/grammars/source.slice.json +1 -2755
  412. data/grammars/source.smali.json +1 -1610
  413. data/grammars/source.smalltalk.json +1 -187
  414. data/grammars/source.smpl.json +1 -314
  415. data/grammars/source.smt.json +1 -158
  416. data/grammars/source.solidity.json +1 -189
  417. data/grammars/source.sourcepawn.json +1 -651
  418. data/grammars/source.sparql.json +1 -18
  419. data/grammars/source.spin.json +1 -916
  420. data/grammars/source.sqf.json +1 -111
  421. data/grammars/source.sql.json +1 -459
  422. data/grammars/source.ssh-config.json +1 -97
  423. data/grammars/source.stan.json +1 -388
  424. data/grammars/source.stata.json +1 -197
  425. data/grammars/source.strings.json +1 -49
  426. data/grammars/source.stylus.json +1 -1018
  427. data/grammars/source.supercollider.json +1 -93
  428. data/grammars/source.svelte.json +1 -827
  429. data/grammars/source.swift.json +1 -3134
  430. data/grammars/source.sy.json +1 -2954
  431. data/grammars/source.systemverilog.json +1 -1036
  432. data/grammars/source.tags.json +1 -266
  433. data/grammars/source.tcl.json +1 -260
  434. data/grammars/source.tea.json +1 -174
  435. data/grammars/source.terra.json +1 -138
  436. data/grammars/source.terraform.json +1 -694
  437. data/grammars/source.thrift.json +1 -698
  438. data/grammars/source.tl.json +1 -259
  439. data/grammars/source.tla.json +1 -140
  440. data/grammars/source.tnsaudit.json +1 -256
  441. data/grammars/source.toc.json +1 -52
  442. data/grammars/source.toml.json +1 -426
  443. data/grammars/source.ts.json +1 -5581
  444. data/grammars/source.tsql.json +1 -507
  445. data/grammars/source.tsx.json +1 -5834
  446. data/grammars/source.turing.json +1 -1553
  447. data/grammars/source.turtle.json +1 -489
  448. data/grammars/source.txl.json +1 -48
  449. data/grammars/source.ucd.nameslist.json +1 -444
  450. data/grammars/source.ucd.unidata.json +1 -570
  451. data/grammars/source.ucfconstraints.json +1 -67
  452. data/grammars/source.ur.json +1 -31
  453. data/grammars/source.v.json +1 -1010
  454. data/grammars/source.vala.json +1 -800
  455. data/grammars/source.varnish.vcl.json +1 -331
  456. data/grammars/source.vbnet.json +1 -239
  457. data/grammars/source.verilog.json +1 -296
  458. data/grammars/source.vhdl.json +1 -1374
  459. data/grammars/source.vim-snippet.json +1 -645
  460. data/grammars/source.viml.json +1 -1197
  461. data/grammars/source.vtt.json +1 -702
  462. data/grammars/source.vyper.json +1 -213
  463. data/grammars/source.wavefront.mtl.json +1 -1190
  464. data/grammars/source.wavefront.obj.json +1 -1341
  465. data/grammars/source.wdl.json +1 -220
  466. data/grammars/source.webassembly.json +1 -349
  467. data/grammars/source.webidl.json +1 -807
  468. data/grammars/source.wgetrc.json +1 -1640
  469. data/grammars/source.wollok.json +1 -100
  470. data/grammars/source.wsd.json +1 -781
  471. data/grammars/source.x10.json +1 -64
  472. data/grammars/source.x86.json +1 -299
  473. data/grammars/source.x86asm.json +1 -42
  474. data/grammars/source.xc.json +1 -371
  475. data/grammars/source.xlfd.json +1 -462
  476. data/grammars/source.xojo.json +1 -85
  477. data/grammars/source.xq.json +1 -230
  478. data/grammars/source.xtend.json +1 -724
  479. data/grammars/source.yacc.json +1 -626
  480. data/grammars/source.yaml.json +1 -443
  481. data/grammars/source.yaml.salt.json +1 -345
  482. data/grammars/source.yang.json +1 -78
  483. data/grammars/source.yara.json +1 -99
  484. data/grammars/source.yasnippet.json +1 -377
  485. data/grammars/source.zap.json +1 -260
  486. data/grammars/source.zeek.json +1 -412
  487. data/grammars/source.zenscript.json +1 -282
  488. data/grammars/source.zig.json +1 -547
  489. data/grammars/source.zil.json +1 -750
  490. data/grammars/text.bibtex.json +1 -246
  491. data/grammars/text.browserslist.json +1 -0
  492. data/grammars/text.cfml.basic.json +1 -735
  493. data/grammars/text.checksums.json +1 -0
  494. data/grammars/text.conllu.json +1 -45
  495. data/grammars/text.dfy.dafny.json +1 -188
  496. data/grammars/text.elixir.json +1 -52
  497. data/grammars/text.eml.basic.json +1 -296
  498. data/grammars/text.error-list.json +1 -53
  499. data/grammars/text.find-refs.json +1 -926
  500. data/grammars/text.gherkin.feature.json +1 -127
  501. data/grammars/text.haml.json +1 -518
  502. data/grammars/text.hamlc.json +1 -219
  503. data/grammars/text.html.asciidoc.json +1 -478
  504. data/grammars/text.html.asdoc.json +1 -529
  505. data/grammars/text.html.asp.json +1 -53
  506. data/grammars/text.html.basic.json +1 -908
  507. data/grammars/text.html.cfm.json +1 -802
  508. data/grammars/text.html.creole.json +1 -317
  509. data/grammars/text.html.cshtml.json +1 -356
  510. data/grammars/text.html.django.json +1 -63
  511. data/grammars/text.html.ecr.json +1 -48
  512. data/grammars/text.html.elixir.json +1 -21
  513. data/grammars/text.html.erb.json +1 -150
  514. data/grammars/text.html.erlang.yaws.json +1 -67
  515. data/grammars/text.html.factor.json +1 -19
  516. data/grammars/text.html.ftl.json +1 -76
  517. data/grammars/text.html.handlebars.json +1 -845
  518. data/grammars/text.html.javadoc.json +1 -426
  519. data/grammars/text.html.js.json +1 -24
  520. data/grammars/text.html.jsp.json +1 -1196
  521. data/grammars/text.html.liquid.json +1 -25
  522. data/grammars/text.html.mako.json +1 -726
  523. data/grammars/text.html.markdown.source.gfm.apib.json +1 -1298
  524. data/grammars/text.html.markdown.source.gfm.mson.json +1 -1156
  525. data/grammars/text.html.mediawiki.elm-build-output.json +1 -97
  526. data/grammars/text.html.mediawiki.elm-documentation.json +1 -17
  527. data/grammars/text.html.mediawiki.json +1 -946
  528. data/grammars/text.html.nunjucks.json +1 -0
  529. data/grammars/text.html.php.blade.json +1 -3833
  530. data/grammars/text.html.php.json +1 -2507
  531. data/grammars/text.html.riot.json +1 -788
  532. data/grammars/text.html.slash.json +1 -307
  533. data/grammars/text.html.smarty.json +1 -232
  534. data/grammars/text.html.soy.json +1 -606
  535. data/grammars/text.html.ssp.json +1 -43
  536. data/grammars/text.html.tcl.json +1 -37
  537. data/grammars/text.html.twig.json +1 -1344
  538. data/grammars/text.html.vue.json +1 -963
  539. data/grammars/text.idl-idldoc.json +1 -10
  540. data/grammars/text.jade.json +1 -963
  541. data/grammars/text.junit-test-report.json +1 -89
  542. data/grammars/text.log.latex.json +1 -84
  543. data/grammars/text.marko.json +1 -1662
  544. data/grammars/text.muse.json +1 -1471
  545. data/grammars/text.openbsd-pkg.desc.json +1 -78
  546. data/grammars/text.plain.json +1 -18
  547. data/grammars/text.python.console.json +1 -21
  548. data/grammars/text.python.traceback.json +1 -43
  549. data/grammars/text.rdoc.json +1 -44
  550. data/grammars/text.restructuredtext.clean.json +1 -24
  551. data/grammars/text.restructuredtext.json +1 -1765
  552. data/grammars/text.robot.json +1 -63
  553. data/grammars/text.roff.json +1 -6927
  554. data/grammars/text.rtf.json +1 -148
  555. data/grammars/text.runoff.json +1 -308
  556. data/grammars/text.sfd.json +1 -292
  557. data/grammars/text.shell-session.json +1 -29
  558. data/grammars/text.slim.json +1 -527
  559. data/grammars/text.srt.json +1 -166
  560. data/grammars/text.tex.json +1 -264
  561. data/grammars/text.tex.latex.beamer.json +1 -57
  562. data/grammars/text.tex.latex.haskell.json +1 -1826
  563. data/grammars/text.tex.latex.json +1 -1003
  564. data/grammars/text.tex.latex.memoir.json +1 -129
  565. data/grammars/text.tex.latex.rd.json +1 -111
  566. data/grammars/text.texinfo.json +1 -1525
  567. data/grammars/text.vim-help.json +1 -383
  568. data/grammars/text.xml.ant.json +1 -195
  569. data/grammars/text.xml.flex-config.json +1 -2628
  570. data/grammars/text.xml.genshi.json +1 -28
  571. data/grammars/text.xml.json +1 -351
  572. data/grammars/text.xml.plist.json +1 -664
  573. data/grammars/text.xml.pom.json +1 -769
  574. data/grammars/text.xml.svg.json +1 -562
  575. data/grammars/text.xml.xsl.json +1 -88
  576. data/grammars/text.zone_file.json +1 -67
  577. data/grammars/version +1 -1
  578. data/lib/linguist/VERSION +1 -1
  579. data/lib/linguist/classifier.rb +28 -17
  580. data/lib/linguist/generated.rb +23 -3
  581. data/lib/linguist/generic.yml +18 -0
  582. data/lib/linguist/heuristics.yml +57 -22
  583. data/lib/linguist/language.rb +4 -2
  584. data/lib/linguist/languages.json +1 -1
  585. data/lib/linguist/languages.yml +142 -25
  586. data/lib/linguist/linguist.bundle +0 -0
  587. data/lib/linguist/samples.json +1 -1
  588. data/lib/linguist/samples.rb +4 -4
  589. data/lib/linguist/{md5.rb → sha256.rb} +3 -3
  590. data/lib/linguist/strategy/extension.rb +13 -5
  591. data/lib/linguist/vendor.yml +1 -1
  592. metadata +23 -10
  593. data/ext/linguist/strndup.c +0 -10
  594. data/grammars/source.js.css.json +0 -328
  595. data/grammars/source.js.jsx.json +0 -2488
  596. data/grammars/source.perl6fe.json +0 -2769
  597. data/grammars/source.quoting.perl6fe.json +0 -2369
  598. data/grammars/source.regexp.perl6fe.json +0 -244
@@ -1,1036 +1 @@
1
- {
2
- "name": "SystemVerilog",
3
- "scopeName": "source.systemverilog",
4
- "patterns": [
5
- {
6
- "name": "meta.function.systemverilog",
7
- "begin": "\\s*\\b(function|task)\\b(\\s+automatic)?",
8
- "end": ";",
9
- "patterns": [
10
- {
11
- "match": "\\b([a-zA-Z_][a-zA-Z0-9_]*\\s+)?([a-zA-Z_][a-zA-Z0-9_:]*)\\s*(?=\\(|;)",
12
- "captures": {
13
- "1": {
14
- "name": "storage.type.systemverilog"
15
- },
16
- "2": {
17
- "name": "entity.name.function.systemverilog"
18
- }
19
- }
20
- },
21
- {
22
- "include": "#port-dir"
23
- },
24
- {
25
- "include": "#base-grammar"
26
- }
27
- ],
28
- "beginCaptures": {
29
- "1": {
30
- "name": "keyword.control.systemverilog"
31
- },
32
- "2": {
33
- "name": "keyword.control.systemverilog"
34
- }
35
- }
36
- },
37
- {
38
- "name": "meta.task.simple.systemverilog",
39
- "match": "\\s*\\b(task)\\s+(automatic)?\\s*(\\w+)\\s*;",
40
- "captures": {
41
- "1": {
42
- "name": "keyword.control.systemverilog"
43
- },
44
- "2": {
45
- "name": "keyword.control.systemverilog"
46
- },
47
- "3": {
48
- "name": "entity.name.function.systemverilog"
49
- }
50
- }
51
- },
52
- {
53
- "name": "meta.typedef.struct.systemverilog",
54
- "begin": "\\s*\\b(typedef\\s+(struct|enum|union)\\b)\\s*(packed)?\\s*([a-zA-Z_][a-zA-Z0-9_]*)?",
55
- "end": "(})\\s*([a-zA-Z_][a-zA-Z0-9_]*)\\s*;",
56
- "patterns": [
57
- {
58
- "include": "#struct-anonymous"
59
- },
60
- {
61
- "include": "#base-grammar"
62
- }
63
- ],
64
- "beginCaptures": {
65
- "1": {
66
- "name": "keyword.control.systemverilog"
67
- },
68
- "2": {
69
- "name": "keyword.control.systemverilog"
70
- },
71
- "3": {
72
- "name": "keyword.control.systemverilog"
73
- },
74
- "4": {
75
- "name": "storage.type.systemverilog"
76
- }
77
- },
78
- "endCaptures": {
79
- "1": {
80
- "name": "keyword.operator.other.systemverilog"
81
- },
82
- "2": {
83
- "name": "entity.name.function.systemverilog"
84
- }
85
- }
86
- },
87
- {
88
- "name": "meta.typedef.class.systemverilog",
89
- "match": "\\s*\\b(typedef\\s+class)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\s*;",
90
- "captures": {
91
- "1": {
92
- "name": "keyword.control.systemverilog"
93
- },
94
- "2": {
95
- "name": "entity.name.declaration.systemverilog"
96
- }
97
- }
98
- },
99
- {
100
- "name": "meta.typedef.simple.systemverilog",
101
- "begin": "\\s*\\b(typedef)\\b",
102
- "end": "([a-zA-Z_][a-zA-Z0-9_]*)\\s*(?=(\\[[a-zA-Z0-9_:\\$\\-\\+]*\\])?;)",
103
- "patterns": [
104
- {
105
- "name": "meta.typedef.class.systemverilog",
106
- "match": "\\b([a-zA-Z_]\\w*)\\s*(#)\\(",
107
- "captures": {
108
- "1": {
109
- "name": "storage.type.userdefined.systemverilog"
110
- },
111
- "2": {
112
- "name": "keyword.operator.param.systemverilog"
113
- }
114
- }
115
- },
116
- {
117
- "include": "#base-grammar"
118
- },
119
- {
120
- "include": "#module-binding"
121
- }
122
- ],
123
- "beginCaptures": {
124
- "1": {
125
- "name": "keyword.control.systemverilog"
126
- }
127
- },
128
- "endCaptures": {
129
- "1": {
130
- "name": "entity.name.function.systemverilog"
131
- }
132
- }
133
- },
134
- {
135
- "name": "meta.module.systemverilog",
136
- "begin": "\\s*(module)\\s+\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",
137
- "end": ";",
138
- "patterns": [
139
- {
140
- "include": "#port-dir"
141
- },
142
- {
143
- "name": "keyword.other.systemverilog",
144
- "match": "\\s*(parameter)"
145
- },
146
- {
147
- "include": "#base-grammar"
148
- },
149
- {
150
- "include": "#ifmodport"
151
- }
152
- ],
153
- "beginCaptures": {
154
- "1": {
155
- "name": "keyword.control.systemverilog"
156
- },
157
- "2": {
158
- "name": "entity.name.type.module.systemverilog"
159
- }
160
- },
161
- "endCaptures": {
162
- "1": {
163
- "name": "entity.name.function.systemverilog"
164
- }
165
- }
166
- },
167
- {
168
- "name": "meta.sequence.systemverilog",
169
- "match": "\\b(sequence)\\s+([a-zA-Z_][a-zA-Z0-9_]*)",
170
- "captures": {
171
- "1": {
172
- "name": "keyword.control.systemverilog"
173
- },
174
- "2": {
175
- "name": "entity.name.function.systemverilog"
176
- }
177
- }
178
- },
179
- {
180
- "match": "\\b(bind)\\s+([a-zA-Z_][a-zA-Z0-9_\\.]*)\\b",
181
- "captures": {
182
- "1": {
183
- "name": "keyword.control.systemverilog"
184
- }
185
- }
186
- },
187
- {
188
- "name": "meta.definition.systemverilog",
189
- "match": "\\s*(begin|fork)\\s*((:)\\s*([a-zA-Z_][a-zA-Z0-9_]*))\\b",
190
- "captures": {
191
- "0": {
192
- "name": "meta.section.begin.systemverilog"
193
- },
194
- "1": {
195
- "name": "keyword.other.block.systemverilog"
196
- },
197
- "3": {
198
- "name": "keyword.operator.systemverilog"
199
- },
200
- "4": {
201
- "name": "entity.name.section.systemverilog"
202
- }
203
- }
204
- },
205
- {
206
- "match": "\\b(property)\\s+(\\w+)",
207
- "captures": {
208
- "1": {
209
- "name": "keyword.sva.systemverilog"
210
- },
211
- "2": {
212
- "name": "entity.name.sva.systemverilog"
213
- }
214
- }
215
- },
216
- {
217
- "match": "\\b(\\w+)\\s*(:)\\s*(assert)\\b",
218
- "captures": {
219
- "1": {
220
- "name": "entity.name.sva.systemverilog"
221
- },
222
- "2": {
223
- "name": "keyword.operator.systemverilog"
224
- },
225
- "3": {
226
- "name": "keyword.sva.systemverilog"
227
- }
228
- }
229
- },
230
- {
231
- "name": "meta.psl.systemverilog",
232
- "begin": "\\s*(//)\\s*(psl)\\s+((\\w+)\\s*(:))?\\s*(default|assert|assume)",
233
- "end": ";",
234
- "patterns": [
235
- {
236
- "name": "keyword.psl.systemverilog",
237
- "match": "\\b(never|always|default|clock|within|rose|fell|stable|until|before|next|eventually|abort|posedge)\\b"
238
- },
239
- {
240
- "include": "#operators"
241
- },
242
- {
243
- "include": "#functions"
244
- },
245
- {
246
- "include": "#constants"
247
- }
248
- ],
249
- "beginCaptures": {
250
- "0": {
251
- "name": "meta.psl.systemverilog"
252
- },
253
- "1": {
254
- "name": "comment.line.double-slash.systemverilog"
255
- },
256
- "2": {
257
- "name": "keyword.psl.systemverilog"
258
- },
259
- "4": {
260
- "name": "entity.psl.name.systemverilog"
261
- },
262
- "5": {
263
- "name": "keyword.operator.systemverilog"
264
- },
265
- "6": {
266
- "name": "keyword.psl.systemverilog"
267
- }
268
- }
269
- },
270
- {
271
- "name": "meta.psl.systemverilog",
272
- "begin": "\\s*(/\\*)\\s*(psl)",
273
- "end": "(\\*/)",
274
- "patterns": [
275
- {
276
- "match": "^\\s*((\\w+)\\s*(:))?\\s*(default|assert|assume)",
277
- "captures": {
278
- "0": {
279
- "name": "meta.psl.systemverilog"
280
- },
281
- "2": {
282
- "name": "entity.psl.name.systemverilog"
283
- },
284
- "3": {
285
- "name": "keyword.operator.systemverilog"
286
- },
287
- "4": {
288
- "name": "keyword.psl.systemverilog"
289
- }
290
- }
291
- },
292
- {
293
- "match": "\\b(property)\\s+(\\w+)",
294
- "captures": {
295
- "1": {
296
- "name": "keyword.psl.systemverilog"
297
- },
298
- "2": {
299
- "name": "entity.psl.name.systemverilog"
300
- }
301
- }
302
- },
303
- {
304
- "name": "keyword.psl.systemverilog",
305
- "match": "\\b(never|always|default|clock|within|rose|fell|stable|until|before|next|eventually|abort|posedge|negedge)\\b"
306
- },
307
- {
308
- "include": "#operators"
309
- },
310
- {
311
- "include": "#functions"
312
- },
313
- {
314
- "include": "#constants"
315
- }
316
- ],
317
- "beginCaptures": {
318
- "0": {
319
- "name": "meta.psl.systemverilog"
320
- },
321
- "1": {
322
- "name": "comment.block.systemverilog"
323
- },
324
- "2": {
325
- "name": "keyword.psl.systemverilog"
326
- }
327
- },
328
- "endCaptures": {
329
- "1": {
330
- "name": "comment.block.systemverilog"
331
- }
332
- }
333
- },
334
- {
335
- "match": "\\s*\\b(automatic|cell|config|deassign|defparam|design|disable|edge|endconfig|endgenerate|endspecify|endtable|event|generate|genvar|ifnone|incdir|instance|liblist|library|macromodule|negedge|noshowcancelled|posedge|pulsestyle_onevent|pulsestyle_ondetect|scalared|showcancelled|specify|specparam|table|use|vectored)\\b",
336
- "captures": {
337
- "1": {
338
- "name": "keyword.other.systemverilog"
339
- }
340
- }
341
- },
342
- {
343
- "match": "\\s*\\b(initial|always|wait|force|release|assign|always_comb|always_ff|always_latch|forever|repeat|while|for|if|iff|else|case|casex|casez|default|endcase|return|break|continue|do|foreach|with|inside|dist|clocking|cover|coverpoint|property|bins|binsof|illegal_bins|ignore_bins|randcase|modport|matches|solve|static|assert|assume|before|expect|cross|ref|first_match|srandom|struct|packed|final|chandle|alias|tagged|extern|throughout|timeprecision|timeunit|priority|type|union|uwire|wait_order|triggered|randsequence|import|export|context|pure|intersect|wildcard|within|new|typedef|enum|this|super|begin|fork|forkjoin|unique|unique0|priority)\\b",
344
- "captures": {
345
- "1": {
346
- "name": "keyword.control.systemverilog"
347
- }
348
- }
349
- },
350
- {
351
- "name": "meta.object.end.systemverilog",
352
- "match": "\\s*\\b(end|endtask|endmodule|endfunction|endprimitive|endclass|endpackage|endsequence|endprogram|endclocking|endproperty|endgroup|endinterface|join|join_any|join_none)\\b(\\s*(:)\\s*(\\w+))?",
353
- "captures": {
354
- "1": {
355
- "name": "keyword.control.systemverilog"
356
- },
357
- "3": {
358
- "name": "keyword.operator.systemverilog"
359
- },
360
- "4": {
361
- "name": "entity.label.systemverilog"
362
- }
363
- }
364
- },
365
- {
366
- "name": "support.class.systemverilog",
367
- "match": "\\b(std)\\b::"
368
- },
369
- {
370
- "name": "meta.define.systemverilog",
371
- "match": "^\\s*(`define)\\s+([a-zA-Z_][a-zA-Z0-9_]*)",
372
- "captures": {
373
- "1": {
374
- "name": "constant.other.define.systemverilog"
375
- },
376
- "2": {
377
- "name": "entity.name.type.define.systemverilog"
378
- }
379
- }
380
- },
381
- {
382
- "include": "#comments"
383
- },
384
- {
385
- "name": "meta.definition.systemverilog",
386
- "match": "\\s*(primitive|package|constraint|interface|covergroup|program)\\s+\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",
387
- "captures": {
388
- "1": {
389
- "name": "keyword.control.systemverilog"
390
- },
391
- "2": {
392
- "name": "entity.name.type.class.systemverilog"
393
- }
394
- }
395
- },
396
- {
397
- "name": "meta.definition.systemverilog",
398
- "match": "(([a-zA-Z_][a-zA-Z0-9_]*)\\s*(:))?\\s*(coverpoint|cross)\\s+([a-zA-Z_][a-zA-Z0-9_]*)",
399
- "captures": {
400
- "2": {
401
- "name": "entity.name.type.class.systemverilog"
402
- },
403
- "3": {
404
- "name": "keyword.operator.other.systemverilog"
405
- },
406
- "4": {
407
- "name": "keyword.control.systemverilog"
408
- }
409
- }
410
- },
411
- {
412
- "name": "meta.definition.class.systemverilog",
413
- "match": "\\b(virtual\\s+)?(class)\\s+\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",
414
- "captures": {
415
- "1": {
416
- "name": "keyword.control.systemverilog"
417
- },
418
- "2": {
419
- "name": "keyword.control.systemverilog"
420
- },
421
- "3": {
422
- "name": "entity.name.type.class.systemverilog"
423
- }
424
- }
425
- },
426
- {
427
- "name": "meta.definition.systemverilog",
428
- "match": "\\b(extends)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\b",
429
- "captures": {
430
- "1": {
431
- "name": "keyword.control.systemverilog"
432
- },
433
- "2": {
434
- "name": "entity.other.inherited-class.systemverilog"
435
- }
436
- }
437
- },
438
- {
439
- "include": "#all-types"
440
- },
441
- {
442
- "include": "#operators"
443
- },
444
- {
445
- "include": "#port-dir"
446
- },
447
- {
448
- "name": "support.type.systemverilog",
449
- "match": "\\b(and|nand|nor|or|xor|xnor|buf|not|bufif[01]|notif[01]|r?[npc]mos|tran|r?tranif[01]|pullup|pulldown)\\b"
450
- },
451
- {
452
- "include": "#strings"
453
- },
454
- {
455
- "name": "support.function.systemverilog",
456
- "match": "\\$\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b"
457
- },
458
- {
459
- "name": "meta.cast.systemverilog",
460
- "match": "\\b([a-zA-Z_][a-zA-Z0-9_]*)(')(?=\\()",
461
- "captures": {
462
- "1": {
463
- "name": "storage.type.systemverilog"
464
- },
465
- "2": {
466
- "name": "keyword.operator.cast.systemverilog"
467
- }
468
- }
469
- },
470
- {
471
- "name": "meta.param.systemverilog",
472
- "match": "^\\s*(localparam|parameter)\\s+([A-Z_][A-Z0-9_]*)\\b\\s*(?=(=))",
473
- "captures": {
474
- "1": {
475
- "name": "keyword.other.systemverilog"
476
- },
477
- "2": {
478
- "name": "constant.other.systemverilog"
479
- }
480
- }
481
- },
482
- {
483
- "name": "meta.param.systemverilog",
484
- "match": "^\\s*(localparam|parameter)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\b\\s*(?=(=))",
485
- "captures": {
486
- "1": {
487
- "name": "keyword.other.systemverilog"
488
- }
489
- }
490
- },
491
- {
492
- "name": "meta.userdefined.systemverilog",
493
- "match": "^\\s*(local\\s+|protected\\s+|localparam\\s+|parameter\\s+)?(const\\s+|virtual\\s+)?(rand\\s+|randc\\s+)?(([a-zA-Z_][a-zA-Z0-9_]*)(::))?([a-zA-Z_][a-zA-Z0-9_]*)\\b\\s*(?=(#\\s*\\([\\w,]+\\)\\s*)?([a-zA-Z][a-zA-Z0-9_\\s\\[\\]']*)(;|,|=|'\\{))",
494
- "captures": {
495
- "1": {
496
- "name": "keyword.other.systemverilog"
497
- },
498
- "2": {
499
- "name": "keyword.other.systemverilog"
500
- },
501
- "3": {
502
- "name": "storage.type.rand.systemverilog"
503
- },
504
- "5": {
505
- "name": "support.type.scope.systemverilog"
506
- },
507
- "6": {
508
- "name": "keyword.operator.scope.systemverilog"
509
- },
510
- "7": {
511
- "name": "storage.type.userdefined.systemverilog"
512
- }
513
- }
514
- },
515
- {
516
- "match": "\\s*\\b(option)\\.",
517
- "captures": {
518
- "1": {
519
- "name": "keyword.cover.systemverilog"
520
- }
521
- }
522
- },
523
- {
524
- "match": "\\s*\\b(local|const|protected|virtual|localparam|parameter)\\b",
525
- "captures": {
526
- "1": {
527
- "name": "keyword.other.systemverilog"
528
- }
529
- }
530
- },
531
- {
532
- "name": "storage.type.rand.systemverilog",
533
- "match": "\\s*\\b(rand|randc)\\b"
534
- },
535
- {
536
- "name": "meta.module.inst.param.systemverilog",
537
- "begin": "^(\\s*(bind)\\s+([a-zA-Z_][\\w\\.]*))?\\s*([a-zA-Z_][a-zA-Z0-9_]*)\\s*(?=#[^#])",
538
- "end": "(?=;|=|:)",
539
- "patterns": [
540
- {
541
- "include": "#module-binding"
542
- },
543
- {
544
- "include": "#module-param"
545
- },
546
- {
547
- "include": "#comments"
548
- },
549
- {
550
- "include": "#operators"
551
- },
552
- {
553
- "include": "#constants"
554
- },
555
- {
556
- "include": "#strings"
557
- },
558
- {
559
- "name": "entity.name.type.module.systemverilog",
560
- "match": "\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b(?=\\s*(\\(|$))"
561
- }
562
- ],
563
- "beginCaptures": {
564
- "2": {
565
- "name": "keyword.control.systemverilog"
566
- },
567
- "4": {
568
- "name": "storage.module.systemverilog"
569
- }
570
- }
571
- },
572
- {
573
- "name": "meta.module.inst.systemverilog",
574
- "begin": "\\b([a-zA-Z_][a-zA-Z0-9_]*)\\s+(?!intersect|and|or|throughout|within)([a-zA-Z_][a-zA-Z0-9_]*)\\s*(\\[(\\d+)(\\:(\\d+))?\\])?\\s*(\\(|$)",
575
- "end": ";",
576
- "patterns": [
577
- {
578
- "include": "#module-binding"
579
- },
580
- {
581
- "include": "#comments"
582
- },
583
- {
584
- "include": "#strings"
585
- },
586
- {
587
- "include": "#operators"
588
- },
589
- {
590
- "include": "#constants"
591
- }
592
- ],
593
- "beginCaptures": {
594
- "1": {
595
- "name": "storage.module.systemverilog"
596
- },
597
- "2": {
598
- "name": "entity.name.type.module.systemverilog"
599
- },
600
- "4": {
601
- "name": "constant.numeric.systemverilog"
602
- },
603
- "6": {
604
- "name": "constant.numeric.systemverilog"
605
- }
606
- }
607
- },
608
- {
609
- "name": "meta.struct.assign.systemverilog",
610
- "begin": "\\b\\s+(\u003c?=)\\s*(\\'{)",
611
- "end": ";",
612
- "patterns": [
613
- {
614
- "match": "\\b(\\w+)\\s*(:)(?!:)",
615
- "captures": {
616
- "1": {
617
- "name": "support.function.field.systemverilog"
618
- },
619
- "2": {
620
- "name": "keyword.operator.other.systemverilog"
621
- }
622
- }
623
- },
624
- {
625
- "include": "#comments"
626
- },
627
- {
628
- "include": "#strings"
629
- },
630
- {
631
- "include": "#operators"
632
- },
633
- {
634
- "include": "#constants"
635
- },
636
- {
637
- "include": "#storage-scope-systemverilog"
638
- }
639
- ],
640
- "beginCaptures": {
641
- "1": {
642
- "name": "keyword.operator.other.systemverilog"
643
- },
644
- "2": {
645
- "name": "keyword.operator.other.systemverilog"
646
- },
647
- "3": {
648
- "name": "keyword.operator.other.systemverilog"
649
- }
650
- }
651
- },
652
- {
653
- "include": "#storage-scope-systemverilog"
654
- },
655
- {
656
- "include": "#functions"
657
- },
658
- {
659
- "include": "#constants"
660
- }
661
- ],
662
- "repository": {
663
- "all-types": {
664
- "patterns": [
665
- {
666
- "include": "#storage-type-systemverilog"
667
- },
668
- {
669
- "include": "#storage-modifier-systemverilog"
670
- }
671
- ]
672
- },
673
- "base-grammar": {
674
- "patterns": [
675
- {
676
- "include": "#all-types"
677
- },
678
- {
679
- "include": "#comments"
680
- },
681
- {
682
- "include": "#operators"
683
- },
684
- {
685
- "include": "#constants"
686
- },
687
- {
688
- "include": "#strings"
689
- },
690
- {
691
- "match": "^\\s*([a-zA-Z_][a-zA-Z0-9_]*)\\s+[a-zA-Z_][a-zA-Z0-9_,=\\s]*",
692
- "captures": {
693
- "1": {
694
- "name": "storage.type.interface.systemverilog"
695
- }
696
- }
697
- },
698
- {
699
- "include": "#storage-scope-systemverilog"
700
- }
701
- ]
702
- },
703
- "comments": {
704
- "patterns": [
705
- {
706
- "name": "comment.block.systemverilog",
707
- "begin": "/\\*",
708
- "end": "\\*/",
709
- "captures": {
710
- "0": {
711
- "name": "punctuation.definition.comment.systemverilog"
712
- }
713
- }
714
- },
715
- {
716
- "name": "comment.line.double-slash.systemverilog",
717
- "match": "(//).*$\\n?",
718
- "captures": {
719
- "1": {
720
- "name": "punctuation.definition.comment.systemverilog"
721
- }
722
- }
723
- }
724
- ]
725
- },
726
- "constants": {
727
- "patterns": [
728
- {
729
- "name": "constant.numeric.systemverilog",
730
- "match": "(\\b\\d+)?'(s?[bB]\\s*[0-1xXzZ?][0-1_xXzZ?]*|s?[oO]\\s*[0-7xXzZ?][0-7_xXzZ?]*|s?[dD]\\s*[0-9xXzZ?][0-9_xXzZ?]*|s?[hH]\\s*[0-9a-fA-FxXzZ?][0-9a-fA-F_xXzZ?]*)((e|E)(\\+|-)?[0-9]+)?(?!'|\\w)"
731
- },
732
- {
733
- "name": "constant.numeric.bit.systemverilog",
734
- "match": "'[01xXzZ]"
735
- },
736
- {
737
- "name": "constant.numeric.exp.systemverilog",
738
- "match": "\\b((\\d[\\d_]*)(e|E)(\\+|-)?[0-9]+)\\b"
739
- },
740
- {
741
- "name": "constant.numeric.decimal.systemverilog",
742
- "match": "\\b(\\d[\\d_]*)\\b"
743
- },
744
- {
745
- "name": "constant.numeric.time.systemverilog",
746
- "match": "\\b(\\d+(fs|ps|ns|us|ms|s)?)\\b"
747
- },
748
- {
749
- "name": "constant.other.net.systemverilog",
750
- "match": "\\b([A-Z][A-Z0-9_]*)\\b"
751
- },
752
- {
753
- "match": "(`ifdef|`ifndef|`default_nettype)\\s+(\\w+)",
754
- "captures": {
755
- "1": {
756
- "name": "constant.other.preprocessor.systemverilog"
757
- },
758
- "2": {
759
- "name": "support.variable.systemverilog"
760
- }
761
- }
762
- },
763
- {
764
- "name": "constant.other.preprocessor.systemverilog",
765
- "match": "`(celldefine|else|elsif|endcelldefine|endif|include|line|nounconnected_drive|resetall|timescale|unconnected_drive|undef|begin_\\w+|end_\\w+|remove_\\w+|restore_\\w+)\\b"
766
- },
767
- {
768
- "name": "constant.other.define.systemverilog",
769
- "match": "`\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b"
770
- },
771
- {
772
- "name": "support.constant.systemverilog",
773
- "match": "\\b(null)\\b"
774
- }
775
- ]
776
- },
777
- "functions": {
778
- "name": "support.function.generic.systemverilog",
779
- "match": "\\b(\\w+)(?=\\s*\\()"
780
- },
781
- "ifmodport": {
782
- "match": "\\b([a-zA-Z_][a-zA-Z0-9_]*)\\.([a-zA-Z_][a-zA-Z0-9_]*)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\b",
783
- "captures": {
784
- "1": {
785
- "name": "storage.type.interface.systemverilog"
786
- },
787
- "2": {
788
- "name": "support.modport.systemverilog"
789
- }
790
- }
791
- },
792
- "module-binding": {
793
- "match": "\\.([a-zA-Z_][a-zA-Z0-9_]*)\\s*",
794
- "begin": "\\.([a-zA-Z_][a-zA-Z0-9_]*)\\s*\\(",
795
- "end": "\\)",
796
- "patterns": [
797
- {
798
- "include": "#constants"
799
- },
800
- {
801
- "include": "#comments"
802
- },
803
- {
804
- "include": "#operators"
805
- },
806
- {
807
- "include": "#strings"
808
- },
809
- {
810
- "include": "#constants"
811
- },
812
- {
813
- "match": "\\b([a-zA-Z_]\\w*)(::)",
814
- "captures": {
815
- "1": {
816
- "name": "support.type.scope.systemverilog"
817
- },
818
- "2": {
819
- "name": "keyword.operator.scope.systemverilog"
820
- }
821
- }
822
- },
823
- {
824
- "match": "\\b([a-zA-Z_]\\w*)(')",
825
- "captures": {
826
- "1": {
827
- "name": "storage.type.interface.systemverilog"
828
- },
829
- "2": {
830
- "name": "keyword.operator.cast.systemverilog"
831
- }
832
- }
833
- },
834
- {
835
- "name": "support.function.systemverilog",
836
- "match": "\\$\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b"
837
- },
838
- {
839
- "name": "keyword.control.systemverilog",
840
- "match": "\\b(virtual)\\b"
841
- }
842
- ],
843
- "captures": {
844
- "1": {
845
- "name": "support.function.port.implicit.systemverilog"
846
- }
847
- },
848
- "beginCaptures": {
849
- "1": {
850
- "name": "support.function.port.systemverilog"
851
- }
852
- }
853
- },
854
- "module-param": {
855
- "name": "meta.module-param.systemverilog",
856
- "begin": "(#)\\s*\\(",
857
- "end": "\\)",
858
- "patterns": [
859
- {
860
- "include": "#comments"
861
- },
862
- {
863
- "include": "#constants"
864
- },
865
- {
866
- "include": "#operators"
867
- },
868
- {
869
- "include": "#strings"
870
- },
871
- {
872
- "include": "#module-binding"
873
- },
874
- {
875
- "name": "keyword.control.systemverilog",
876
- "match": "\\b(virtual)\\b"
877
- }
878
- ],
879
- "beginCaptures": {
880
- "1": {
881
- "name": "keyword.operator.param.systemverilog"
882
- }
883
- }
884
- },
885
- "operators": {
886
- "patterns": [
887
- {
888
- "name": "keyword.operator.comparison.systemverilog",
889
- "match": "(=|==|===|!=|!==|\u003c=|\u003e=|\u003c|\u003e)"
890
- },
891
- {
892
- "name": "keyword.operator.arithmetic.systemverilog",
893
- "match": "(\\-|\\+|\\*|\\/|%)"
894
- },
895
- {
896
- "name": "keyword.operator.logical.systemverilog",
897
- "match": "(!|\u0026\u0026|\\|\\||\\bor\\b)"
898
- },
899
- {
900
- "name": "keyword.operator.bitwise.systemverilog",
901
- "match": "(\u0026|\\||\\^|~|{|'{|}|\u003c\u003c|\u003e\u003e|\\?|:)"
902
- },
903
- {
904
- "name": "keyword.operator.other.systemverilog",
905
- "match": "(#|@)"
906
- }
907
- ]
908
- },
909
- "port-dir": {
910
- "patterns": [
911
- {
912
- "match": "\\s*\\b(output|input|inout|ref)\\s+(([a-zA-Z_][a-zA-Z0-9_]*)(::))?([a-zA-Z_][a-zA-Z0-9_]*)?\\s+(?=\\[[a-zA-Z0-9_\\-\\+]*:[a-zA-Z0-9_\\-\\+]*\\]\\s+[a-zA-Z_][a-zA-Z0-9_\\s]*)",
913
- "captures": {
914
- "1": {
915
- "name": "support.type.systemverilog"
916
- },
917
- "3": {
918
- "name": "support.type.scope.systemverilog"
919
- },
920
- "4": {
921
- "name": "keyword.operator.scope.systemverilog"
922
- },
923
- "5": {
924
- "name": "storage.type.interface.systemverilog"
925
- }
926
- }
927
- },
928
- {
929
- "match": "\\s*\\b(output|input|inout|ref)\\s+(([a-zA-Z_][a-zA-Z0-9_]*)(::))?([a-zA-Z_][a-zA-Z0-9_]*)?\\s+(?=[a-zA-Z_][a-zA-Z0-9_\\s]*)",
930
- "captures": {
931
- "1": {
932
- "name": "support.type.systemverilog"
933
- },
934
- "3": {
935
- "name": "support.type.scope.systemverilog"
936
- },
937
- "4": {
938
- "name": "keyword.operator.scope.systemverilog"
939
- },
940
- "5": {
941
- "name": "storage.type.interface.systemverilog"
942
- }
943
- }
944
- },
945
- {
946
- "name": "support.type.systemverilog",
947
- "match": "\\s*\\b(output|input|inout|ref)\\b"
948
- }
949
- ]
950
- },
951
- "storage-modifier-systemverilog": {
952
- "name": "storage.modifier.systemverilog",
953
- "match": "\\b(signed|unsigned|small|medium|large|supply[01]|strong[01]|pull[01]|weak[01]|highz[01])\\b"
954
- },
955
- "storage-scope-systemverilog": {
956
- "name": "meta.scope.systemverilog",
957
- "match": "\\b([a-zA-Z_][a-zA-Z0-9_]*)(::)",
958
- "captures": {
959
- "1": {
960
- "name": "support.type.systemverilog"
961
- },
962
- "2": {
963
- "name": "keyword.operator.scope.systemverilog"
964
- }
965
- }
966
- },
967
- "storage-type-systemverilog": {
968
- "patterns": [
969
- {
970
- "name": "storage.type.systemverilog",
971
- "match": "\\s*\\b(var|wire|tri|tri[01]|supply[01]|wand|triand|wor|trior|trireg|reg|integer|int|longint|shortint|logic|bit|byte|shortreal|string|time|realtime|real|process|void)\\b"
972
- },
973
- {
974
- "name": "storage.type.uvm.systemverilog",
975
- "match": "\\s*\\b(uvm_transaction|uvm_component|uvm_monitor|uvm_driver|uvm_test|uvm_env|uvm_object|uvm_agent|uvm_sequence_base|uvm_sequence|uvm_sequence_item|uvm_sequence_state|uvm_sequencer|uvm_sequencer_base|uvm_component_registry|uvm_analysis_imp|uvm_analysis_port|uvm_analysis_export|uvm_config_db|uvm_active_passive_enum|uvm_phase|uvm_verbosity|uvm_tlm_analysis_fifo|uvm_tlm_fifo|uvm_report_server|uvm_objection|uvm_recorder|uvm_domain|uvm_reg_field|uvm_reg|uvm_reg_block|uvm_bitstream_t|uvm_radix_enum|uvm_printer|uvm_packer|uvm_comparer|uvm_scope_stack)\\b"
976
- }
977
- ]
978
- },
979
- "strings": {
980
- "patterns": [
981
- {
982
- "name": "string.quoted.double.systemverilog",
983
- "begin": "\"",
984
- "end": "\"",
985
- "patterns": [
986
- {
987
- "name": "constant.character.escape.systemverilog",
988
- "match": "\\\\."
989
- },
990
- {
991
- "name": "constant.other.placeholder.systemverilog",
992
- "match": "(?x)%\n\t\t\t\t\t\t\t\t\t\t(\\d+\\$)? # field (argument #)\n\t\t\t\t\t\t\t\t\t\t[#0\\- +']* # flags\n\t\t\t\t\t\t\t\t\t\t[,;:_]? # separator character (AltiVec)\n\t\t\t\t\t\t\t\t\t\t((-?\\d+)|\\*(-?\\d+\\$)?)? # minimum field width\n\t\t\t\t\t\t\t\t\t\t(\\.((-?\\d+)|\\*(-?\\d+\\$)?)?)? # precision\n\t\t\t\t\t\t\t\t\t\t(hh|h|ll|l|j|t|z|q|L|vh|vl|v|hv|hl)? # length modifier\n\t\t\t\t\t\t\t\t\t\t[bdiouxXhHDOUeEfFgGaACcSspnmt%] # conversion type\n\t\t\t\t\t\t\t\t\t"
993
- },
994
- {
995
- "name": "invalid.illegal.placeholder.systemverilog",
996
- "match": "%"
997
- }
998
- ],
999
- "beginCaptures": {
1000
- "0": {
1001
- "name": "punctuation.definition.string.begin.systemverilog"
1002
- }
1003
- },
1004
- "endCaptures": {
1005
- "0": {
1006
- "name": "punctuation.definition.string.end.systemverilog"
1007
- }
1008
- }
1009
- }
1010
- ]
1011
- },
1012
- "struct-anonymous": {
1013
- "name": "meta.struct.anonymous.systemverilog",
1014
- "begin": "\\s*\\b(struct|union)\\s*(packed)?\\s*",
1015
- "end": "(})\\s*([a-zA-Z_]\\w*)\\s*;",
1016
- "patterns": [
1017
- {
1018
- "include": "#base-grammar"
1019
- }
1020
- ],
1021
- "beginCaptures": {
1022
- "1": {
1023
- "name": "keyword.control.systemverilog"
1024
- },
1025
- "2": {
1026
- "name": "keyword.control.systemverilog"
1027
- }
1028
- },
1029
- "endCaptures": {
1030
- "1": {
1031
- "name": "keyword.operator.other.systemverilog"
1032
- }
1033
- }
1034
- }
1035
- }
1036
- }
1
+ {"name":"SystemVerilog","scopeName":"source.systemverilog","patterns":[{"name":"meta.function.systemverilog","begin":"\\s*\\b(function|task)\\b(\\s+automatic)?","end":";","patterns":[{"match":"\\b([a-zA-Z_][a-zA-Z0-9_]*\\s+)?([a-zA-Z_][a-zA-Z0-9_:]*)\\s*(?=\\(|;)","captures":{"1":{"name":"storage.type.systemverilog"},"2":{"name":"entity.name.function.systemverilog"}}},{"include":"#port-dir"},{"include":"#base-grammar"}],"beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"keyword.control.systemverilog"}}},{"name":"meta.task.simple.systemverilog","match":"\\s*\\b(task)\\s+(automatic)?\\s*(\\w+)\\s*;","captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"keyword.control.systemverilog"},"3":{"name":"entity.name.function.systemverilog"}}},{"name":"meta.typedef.struct.systemverilog","begin":"\\s*\\b(typedef\\s+(struct|enum|union)\\b)\\s*(packed)?\\s*([a-zA-Z_][a-zA-Z0-9_]*)?","end":"(})\\s*([a-zA-Z_][a-zA-Z0-9_]*)\\s*;","patterns":[{"include":"#struct-anonymous"},{"include":"#base-grammar"}],"beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"keyword.control.systemverilog"},"3":{"name":"keyword.control.systemverilog"},"4":{"name":"storage.type.systemverilog"}},"endCaptures":{"1":{"name":"keyword.operator.other.systemverilog"},"2":{"name":"entity.name.function.systemverilog"}}},{"name":"meta.typedef.class.systemverilog","match":"\\s*\\b(typedef\\s+class)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\s*;","captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.declaration.systemverilog"}}},{"name":"meta.typedef.simple.systemverilog","begin":"\\s*\\b(typedef)\\b","end":"([a-zA-Z_][a-zA-Z0-9_]*)\\s*(?=(\\[[a-zA-Z0-9_:\\$\\-\\+]*\\])?;)","patterns":[{"name":"meta.typedef.class.systemverilog","match":"\\b([a-zA-Z_]\\w*)\\s*(#)\\(","captures":{"1":{"name":"storage.type.userdefined.systemverilog"},"2":{"name":"keyword.operator.param.systemverilog"}}},{"include":"#base-grammar"},{"include":"#module-binding"}],"beginCaptures":{"1":{"name":"keyword.control.systemverilog"}},"endCaptures":{"1":{"name":"entity.name.function.systemverilog"}}},{"name":"meta.module.systemverilog","begin":"\\s*(module)\\s+\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b","end":";","patterns":[{"include":"#port-dir"},{"name":"keyword.other.systemverilog","match":"\\s*(parameter)"},{"include":"#base-grammar"},{"include":"#ifmodport"}],"beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"}},"endCaptures":{"1":{"name":"entity.name.function.systemverilog"}}},{"name":"meta.sequence.systemverilog","match":"\\b(sequence)\\s+([a-zA-Z_][a-zA-Z0-9_]*)","captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.function.systemverilog"}}},{"match":"\\b(bind)\\s+([a-zA-Z_][a-zA-Z0-9_\\.]*)\\b","captures":{"1":{"name":"keyword.control.systemverilog"}}},{"name":"meta.definition.systemverilog","match":"\\s*(begin|fork)\\s*((:)\\s*([a-zA-Z_][a-zA-Z0-9_]*))\\b","captures":{"0":{"name":"meta.section.begin.systemverilog"},"1":{"name":"keyword.other.block.systemverilog"},"3":{"name":"keyword.operator.systemverilog"},"4":{"name":"entity.name.section.systemverilog"}}},{"match":"\\b(property)\\s+(\\w+)","captures":{"1":{"name":"keyword.sva.systemverilog"},"2":{"name":"entity.name.sva.systemverilog"}}},{"match":"\\b(\\w+)\\s*(:)\\s*(assert)\\b","captures":{"1":{"name":"entity.name.sva.systemverilog"},"2":{"name":"keyword.operator.systemverilog"},"3":{"name":"keyword.sva.systemverilog"}}},{"name":"meta.psl.systemverilog","begin":"\\s*(//)\\s*(psl)\\s+((\\w+)\\s*(:))?\\s*(default|assert|assume)","end":";","patterns":[{"name":"keyword.psl.systemverilog","match":"\\b(never|always|default|clock|within|rose|fell|stable|until|before|next|eventually|abort|posedge)\\b"},{"include":"#operators"},{"include":"#functions"},{"include":"#constants"}],"beginCaptures":{"0":{"name":"meta.psl.systemverilog"},"1":{"name":"comment.line.double-slash.systemverilog"},"2":{"name":"keyword.psl.systemverilog"},"4":{"name":"entity.psl.name.systemverilog"},"5":{"name":"keyword.operator.systemverilog"},"6":{"name":"keyword.psl.systemverilog"}}},{"name":"meta.psl.systemverilog","begin":"\\s*(/\\*)\\s*(psl)","end":"(\\*/)","patterns":[{"match":"^\\s*((\\w+)\\s*(:))?\\s*(default|assert|assume)","captures":{"0":{"name":"meta.psl.systemverilog"},"2":{"name":"entity.psl.name.systemverilog"},"3":{"name":"keyword.operator.systemverilog"},"4":{"name":"keyword.psl.systemverilog"}}},{"match":"\\b(property)\\s+(\\w+)","captures":{"1":{"name":"keyword.psl.systemverilog"},"2":{"name":"entity.psl.name.systemverilog"}}},{"name":"keyword.psl.systemverilog","match":"\\b(never|always|default|clock|within|rose|fell|stable|until|before|next|eventually|abort|posedge|negedge)\\b"},{"include":"#operators"},{"include":"#functions"},{"include":"#constants"}],"beginCaptures":{"0":{"name":"meta.psl.systemverilog"},"1":{"name":"comment.block.systemverilog"},"2":{"name":"keyword.psl.systemverilog"}},"endCaptures":{"1":{"name":"comment.block.systemverilog"}}},{"match":"\\s*\\b(automatic|cell|config|deassign|defparam|design|disable|edge|endconfig|endgenerate|endspecify|endtable|event|generate|genvar|ifnone|incdir|instance|liblist|library|macromodule|negedge|noshowcancelled|posedge|pulsestyle_onevent|pulsestyle_ondetect|scalared|showcancelled|specify|specparam|table|use|vectored)\\b","captures":{"1":{"name":"keyword.other.systemverilog"}}},{"match":"\\s*\\b(initial|always|wait|force|release|assign|always_comb|always_ff|always_latch|forever|repeat|while|for|if|iff|else|case|casex|casez|default|endcase|return|break|continue|do|foreach|with|inside|dist|clocking|cover|coverpoint|property|bins|binsof|illegal_bins|ignore_bins|randcase|modport|matches|solve|static|assert|assume|before|expect|cross|ref|first_match|srandom|struct|packed|final|chandle|alias|tagged|extern|throughout|timeprecision|timeunit|priority|type|union|uwire|wait_order|triggered|randsequence|import|export|context|pure|intersect|wildcard|within|new|typedef|enum|this|super|begin|fork|forkjoin|unique|unique0|priority)\\b","captures":{"1":{"name":"keyword.control.systemverilog"}}},{"name":"meta.object.end.systemverilog","match":"\\s*\\b(end|endtask|endmodule|endfunction|endprimitive|endclass|endpackage|endsequence|endprogram|endclocking|endproperty|endgroup|endinterface|join|join_any|join_none)\\b(\\s*(:)\\s*(\\w+))?","captures":{"1":{"name":"keyword.control.systemverilog"},"3":{"name":"keyword.operator.systemverilog"},"4":{"name":"entity.label.systemverilog"}}},{"name":"support.class.systemverilog","match":"\\b(std)\\b::"},{"name":"meta.define.systemverilog","match":"^\\s*(`define)\\s+([a-zA-Z_][a-zA-Z0-9_]*)","captures":{"1":{"name":"constant.other.define.systemverilog"},"2":{"name":"entity.name.type.define.systemverilog"}}},{"include":"#comments"},{"name":"meta.definition.systemverilog","match":"\\s*(primitive|package|constraint|interface|covergroup|program)\\s+\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b","captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.name.type.class.systemverilog"}}},{"name":"meta.definition.systemverilog","match":"(([a-zA-Z_][a-zA-Z0-9_]*)\\s*(:))?\\s*(coverpoint|cross)\\s+([a-zA-Z_][a-zA-Z0-9_]*)","captures":{"2":{"name":"entity.name.type.class.systemverilog"},"3":{"name":"keyword.operator.other.systemverilog"},"4":{"name":"keyword.control.systemverilog"}}},{"name":"meta.definition.class.systemverilog","match":"\\b(virtual\\s+)?(class)\\s+\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b","captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"keyword.control.systemverilog"},"3":{"name":"entity.name.type.class.systemverilog"}}},{"name":"meta.definition.systemverilog","match":"\\b(extends)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\b","captures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"entity.other.inherited-class.systemverilog"}}},{"include":"#all-types"},{"include":"#operators"},{"include":"#port-dir"},{"name":"support.type.systemverilog","match":"\\b(and|nand|nor|or|xor|xnor|buf|not|bufif[01]|notif[01]|r?[npc]mos|tran|r?tranif[01]|pullup|pulldown)\\b"},{"include":"#strings"},{"name":"support.function.systemverilog","match":"\\$\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b"},{"name":"meta.cast.systemverilog","match":"\\b([a-zA-Z_][a-zA-Z0-9_]*)(')(?=\\()","captures":{"1":{"name":"storage.type.systemverilog"},"2":{"name":"keyword.operator.cast.systemverilog"}}},{"name":"meta.param.systemverilog","match":"^\\s*(localparam|parameter)\\s+([A-Z_][A-Z0-9_]*)\\b\\s*(?=(=))","captures":{"1":{"name":"keyword.other.systemverilog"},"2":{"name":"constant.other.systemverilog"}}},{"name":"meta.param.systemverilog","match":"^\\s*(localparam|parameter)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\b\\s*(?=(=))","captures":{"1":{"name":"keyword.other.systemverilog"}}},{"name":"meta.userdefined.systemverilog","match":"^\\s*(local\\s+|protected\\s+|localparam\\s+|parameter\\s+)?(const\\s+|virtual\\s+)?(rand\\s+|randc\\s+)?(([a-zA-Z_][a-zA-Z0-9_]*)(::))?([a-zA-Z_][a-zA-Z0-9_]*)\\b\\s*(?=(#\\s*\\([\\w,]+\\)\\s*)?([a-zA-Z][a-zA-Z0-9_\\s\\[\\]']*)(;|,|=|'\\{))","captures":{"1":{"name":"keyword.other.systemverilog"},"2":{"name":"keyword.other.systemverilog"},"3":{"name":"storage.type.rand.systemverilog"},"5":{"name":"support.type.scope.systemverilog"},"6":{"name":"keyword.operator.scope.systemverilog"},"7":{"name":"storage.type.userdefined.systemverilog"}}},{"match":"\\s*\\b(option)\\.","captures":{"1":{"name":"keyword.cover.systemverilog"}}},{"match":"\\s*\\b(local|const|protected|virtual|localparam|parameter)\\b","captures":{"1":{"name":"keyword.other.systemverilog"}}},{"name":"storage.type.rand.systemverilog","match":"\\s*\\b(rand|randc)\\b"},{"name":"meta.module.inst.param.systemverilog","begin":"^(\\s*(bind)\\s+([a-zA-Z_][\\w\\.]*))?\\s*([a-zA-Z_][a-zA-Z0-9_]*)\\s*(?=#[^#])","end":"(?=;|=|:)","patterns":[{"include":"#module-binding"},{"include":"#module-param"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"name":"entity.name.type.module.systemverilog","match":"\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b(?=\\s*(\\(|$))"}],"beginCaptures":{"2":{"name":"keyword.control.systemverilog"},"4":{"name":"storage.module.systemverilog"}}},{"name":"meta.module.inst.systemverilog","begin":"\\b([a-zA-Z_][a-zA-Z0-9_]*)\\s+(?!intersect|and|or|throughout|within)([a-zA-Z_][a-zA-Z0-9_]*)\\s*(\\[(\\d+)(\\:(\\d+))?\\])?\\s*(\\(|$)","end":";","patterns":[{"include":"#module-binding"},{"include":"#comments"},{"include":"#strings"},{"include":"#operators"},{"include":"#constants"}],"beginCaptures":{"1":{"name":"storage.module.systemverilog"},"2":{"name":"entity.name.type.module.systemverilog"},"4":{"name":"constant.numeric.systemverilog"},"6":{"name":"constant.numeric.systemverilog"}}},{"name":"meta.struct.assign.systemverilog","begin":"\\b\\s+(\u003c?=)\\s*(\\'{)","end":";","patterns":[{"match":"\\b(\\w+)\\s*(:)(?!:)","captures":{"1":{"name":"support.function.field.systemverilog"},"2":{"name":"keyword.operator.other.systemverilog"}}},{"include":"#comments"},{"include":"#strings"},{"include":"#operators"},{"include":"#constants"},{"include":"#storage-scope-systemverilog"}],"beginCaptures":{"1":{"name":"keyword.operator.other.systemverilog"},"2":{"name":"keyword.operator.other.systemverilog"},"3":{"name":"keyword.operator.other.systemverilog"}}},{"include":"#storage-scope-systemverilog"},{"include":"#functions"},{"include":"#constants"}],"repository":{"all-types":{"patterns":[{"include":"#storage-type-systemverilog"},{"include":"#storage-modifier-systemverilog"}]},"base-grammar":{"patterns":[{"include":"#all-types"},{"include":"#comments"},{"include":"#operators"},{"include":"#constants"},{"include":"#strings"},{"match":"^\\s*([a-zA-Z_][a-zA-Z0-9_]*)\\s+[a-zA-Z_][a-zA-Z0-9_,=\\s]*","captures":{"1":{"name":"storage.type.interface.systemverilog"}}},{"include":"#storage-scope-systemverilog"}]},"comments":{"patterns":[{"name":"comment.block.systemverilog","begin":"/\\*","end":"\\*/","captures":{"0":{"name":"punctuation.definition.comment.systemverilog"}}},{"name":"comment.line.double-slash.systemverilog","match":"(//).*$\\n?","captures":{"1":{"name":"punctuation.definition.comment.systemverilog"}}}]},"constants":{"patterns":[{"name":"constant.numeric.systemverilog","match":"(\\b\\d+)?'(s?[bB]\\s*[0-1xXzZ?][0-1_xXzZ?]*|s?[oO]\\s*[0-7xXzZ?][0-7_xXzZ?]*|s?[dD]\\s*[0-9xXzZ?][0-9_xXzZ?]*|s?[hH]\\s*[0-9a-fA-FxXzZ?][0-9a-fA-F_xXzZ?]*)((e|E)(\\+|-)?[0-9]+)?(?!'|\\w)"},{"name":"constant.numeric.bit.systemverilog","match":"'[01xXzZ]"},{"name":"constant.numeric.exp.systemverilog","match":"\\b((\\d[\\d_]*)(e|E)(\\+|-)?[0-9]+)\\b"},{"name":"constant.numeric.decimal.systemverilog","match":"\\b(\\d[\\d_]*)\\b"},{"name":"constant.numeric.time.systemverilog","match":"\\b(\\d+(fs|ps|ns|us|ms|s)?)\\b"},{"name":"constant.other.net.systemverilog","match":"\\b([A-Z][A-Z0-9_]*)\\b"},{"match":"(`ifdef|`ifndef|`default_nettype)\\s+(\\w+)","captures":{"1":{"name":"constant.other.preprocessor.systemverilog"},"2":{"name":"support.variable.systemverilog"}}},{"name":"constant.other.preprocessor.systemverilog","match":"`(celldefine|else|elsif|endcelldefine|endif|include|line|nounconnected_drive|resetall|timescale|unconnected_drive|undef|begin_\\w+|end_\\w+|remove_\\w+|restore_\\w+)\\b"},{"name":"constant.other.define.systemverilog","match":"`\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b"},{"name":"support.constant.systemverilog","match":"\\b(null)\\b"}]},"functions":{"name":"support.function.generic.systemverilog","match":"\\b(\\w+)(?=\\s*\\()"},"ifmodport":{"match":"\\b([a-zA-Z_][a-zA-Z0-9_]*)\\.([a-zA-Z_][a-zA-Z0-9_]*)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\b","captures":{"1":{"name":"storage.type.interface.systemverilog"},"2":{"name":"support.modport.systemverilog"}}},"module-binding":{"match":"\\.([a-zA-Z_][a-zA-Z0-9_]*)\\s*","begin":"\\.([a-zA-Z_][a-zA-Z0-9_]*)\\s*\\(","end":"\\)","patterns":[{"include":"#constants"},{"include":"#comments"},{"include":"#operators"},{"include":"#strings"},{"include":"#constants"},{"match":"\\b([a-zA-Z_]\\w*)(::)","captures":{"1":{"name":"support.type.scope.systemverilog"},"2":{"name":"keyword.operator.scope.systemverilog"}}},{"match":"\\b([a-zA-Z_]\\w*)(')","captures":{"1":{"name":"storage.type.interface.systemverilog"},"2":{"name":"keyword.operator.cast.systemverilog"}}},{"name":"support.function.systemverilog","match":"\\$\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b"},{"name":"keyword.control.systemverilog","match":"\\b(virtual)\\b"}],"captures":{"1":{"name":"support.function.port.implicit.systemverilog"}},"beginCaptures":{"1":{"name":"support.function.port.systemverilog"}}},"module-param":{"name":"meta.module-param.systemverilog","begin":"(#)\\s*\\(","end":"\\)","patterns":[{"include":"#comments"},{"include":"#constants"},{"include":"#operators"},{"include":"#strings"},{"include":"#module-binding"},{"name":"keyword.control.systemverilog","match":"\\b(virtual)\\b"}],"beginCaptures":{"1":{"name":"keyword.operator.param.systemverilog"}}},"operators":{"patterns":[{"name":"keyword.operator.comparison.systemverilog","match":"(=|==|===|!=|!==|\u003c=|\u003e=|\u003c|\u003e)"},{"name":"keyword.operator.arithmetic.systemverilog","match":"(\\-|\\+|\\*|\\/|%)"},{"name":"keyword.operator.logical.systemverilog","match":"(!|\u0026\u0026|\\|\\||\\bor\\b)"},{"name":"keyword.operator.bitwise.systemverilog","match":"(\u0026|\\||\\^|~|{|'{|}|\u003c\u003c|\u003e\u003e|\\?|:)"},{"name":"keyword.operator.other.systemverilog","match":"(#|@)"}]},"port-dir":{"patterns":[{"match":"\\s*\\b(output|input|inout|ref)\\s+(([a-zA-Z_][a-zA-Z0-9_]*)(::))?([a-zA-Z_][a-zA-Z0-9_]*)?\\s+(?=\\[[a-zA-Z0-9_\\-\\+]*:[a-zA-Z0-9_\\-\\+]*\\]\\s+[a-zA-Z_][a-zA-Z0-9_\\s]*)","captures":{"1":{"name":"support.type.systemverilog"},"3":{"name":"support.type.scope.systemverilog"},"4":{"name":"keyword.operator.scope.systemverilog"},"5":{"name":"storage.type.interface.systemverilog"}}},{"match":"\\s*\\b(output|input|inout|ref)\\s+(([a-zA-Z_][a-zA-Z0-9_]*)(::))?([a-zA-Z_][a-zA-Z0-9_]*)?\\s+(?=[a-zA-Z_][a-zA-Z0-9_\\s]*)","captures":{"1":{"name":"support.type.systemverilog"},"3":{"name":"support.type.scope.systemverilog"},"4":{"name":"keyword.operator.scope.systemverilog"},"5":{"name":"storage.type.interface.systemverilog"}}},{"name":"support.type.systemverilog","match":"\\s*\\b(output|input|inout|ref)\\b"}]},"storage-modifier-systemverilog":{"name":"storage.modifier.systemverilog","match":"\\b(signed|unsigned|small|medium|large|supply[01]|strong[01]|pull[01]|weak[01]|highz[01])\\b"},"storage-scope-systemverilog":{"name":"meta.scope.systemverilog","match":"\\b([a-zA-Z_][a-zA-Z0-9_]*)(::)","captures":{"1":{"name":"support.type.systemverilog"},"2":{"name":"keyword.operator.scope.systemverilog"}}},"storage-type-systemverilog":{"patterns":[{"name":"storage.type.systemverilog","match":"\\s*\\b(var|wire|tri|tri[01]|supply[01]|wand|triand|wor|trior|trireg|reg|integer|int|longint|shortint|logic|bit|byte|shortreal|string|time|realtime|real|process|void)\\b"},{"name":"storage.type.uvm.systemverilog","match":"\\s*\\b(uvm_transaction|uvm_component|uvm_monitor|uvm_driver|uvm_test|uvm_env|uvm_object|uvm_agent|uvm_sequence_base|uvm_sequence|uvm_sequence_item|uvm_sequence_state|uvm_sequencer|uvm_sequencer_base|uvm_component_registry|uvm_analysis_imp|uvm_analysis_port|uvm_analysis_export|uvm_config_db|uvm_active_passive_enum|uvm_phase|uvm_verbosity|uvm_tlm_analysis_fifo|uvm_tlm_fifo|uvm_report_server|uvm_objection|uvm_recorder|uvm_domain|uvm_reg_field|uvm_reg|uvm_reg_block|uvm_bitstream_t|uvm_radix_enum|uvm_printer|uvm_packer|uvm_comparer|uvm_scope_stack)\\b"}]},"strings":{"patterns":[{"name":"string.quoted.double.systemverilog","begin":"\"","end":"\"","patterns":[{"name":"constant.character.escape.systemverilog","match":"\\\\."},{"name":"constant.other.placeholder.systemverilog","match":"(?x)%\n\t\t\t\t\t\t\t\t\t\t(\\d+\\$)? # field (argument #)\n\t\t\t\t\t\t\t\t\t\t[#0\\- +']* # flags\n\t\t\t\t\t\t\t\t\t\t[,;:_]? # separator character (AltiVec)\n\t\t\t\t\t\t\t\t\t\t((-?\\d+)|\\*(-?\\d+\\$)?)? # minimum field width\n\t\t\t\t\t\t\t\t\t\t(\\.((-?\\d+)|\\*(-?\\d+\\$)?)?)? # precision\n\t\t\t\t\t\t\t\t\t\t(hh|h|ll|l|j|t|z|q|L|vh|vl|v|hv|hl)? # length modifier\n\t\t\t\t\t\t\t\t\t\t[bdiouxXhHDOUeEfFgGaACcSspnmt%] # conversion type\n\t\t\t\t\t\t\t\t\t"},{"name":"invalid.illegal.placeholder.systemverilog","match":"%"}],"beginCaptures":{"0":{"name":"punctuation.definition.string.begin.systemverilog"}},"endCaptures":{"0":{"name":"punctuation.definition.string.end.systemverilog"}}}]},"struct-anonymous":{"name":"meta.struct.anonymous.systemverilog","begin":"\\s*\\b(struct|union)\\s*(packed)?\\s*","end":"(})\\s*([a-zA-Z_]\\w*)\\s*;","patterns":[{"include":"#base-grammar"}],"beginCaptures":{"1":{"name":"keyword.control.systemverilog"},"2":{"name":"keyword.control.systemverilog"}},"endCaptures":{"1":{"name":"keyword.operator.other.systemverilog"}}}}}