chaskiq 0.0.2 → 0.0.3

Sign up to get free protection for your applications and to get access to all the features.
Files changed (365) hide show
  1. checksums.yaml +4 -4
  2. data/README.md +34 -0
  3. data/lib/chaskiq/version.rb +1 -1
  4. data/vendor/assets/images/animated-overlay.gif +0 -0
  5. data/vendor/assets/images/blueimp/img/error.png +0 -0
  6. data/vendor/assets/images/blueimp/img/error.svg +5 -0
  7. data/vendor/assets/images/blueimp/img/loading.gif +0 -0
  8. data/vendor/assets/images/blueimp/img/play-pause.png +0 -0
  9. data/vendor/assets/images/blueimp/img/play-pause.svg +6 -0
  10. data/vendor/assets/images/blueimp/img/video-play.png +0 -0
  11. data/vendor/assets/images/blueimp/img/video-play.svg +5 -0
  12. data/vendor/assets/images/bootstrap-colorpicker/alpha-horizontal.png +0 -0
  13. data/vendor/assets/images/bootstrap-colorpicker/alpha.png +0 -0
  14. data/vendor/assets/images/bootstrap-colorpicker/hue-horizontal.png +0 -0
  15. data/vendor/assets/images/bootstrap-colorpicker/hue.png +0 -0
  16. data/vendor/assets/images/bootstrap-colorpicker/saturation.png +0 -0
  17. data/vendor/assets/images/chosen-sprite.png +0 -0
  18. data/vendor/assets/images/chosen-sprite@2x.png +0 -0
  19. data/vendor/assets/images/green.png +0 -0
  20. data/vendor/assets/images/green@2x.png +0 -0
  21. data/vendor/assets/images/images/animated-overlay.gif +0 -0
  22. data/vendor/assets/images/images/ui-bg_flat_0_aaaaaa_40x100.png +0 -0
  23. data/vendor/assets/images/images/ui-bg_flat_75_ffffff_40x100.png +0 -0
  24. data/vendor/assets/images/images/ui-bg_glass_55_fbf9ee_1x400.png +0 -0
  25. data/vendor/assets/images/images/ui-bg_glass_65_ffffff_1x400.png +0 -0
  26. data/vendor/assets/images/images/ui-bg_glass_75_dadada_1x400.png +0 -0
  27. data/vendor/assets/images/images/ui-bg_glass_75_e6e6e6_1x400.png +0 -0
  28. data/vendor/assets/images/images/ui-bg_glass_95_fef1ec_1x400.png +0 -0
  29. data/vendor/assets/images/images/ui-bg_highlight-soft_75_cccccc_1x100.png +0 -0
  30. data/vendor/assets/images/images/ui-icons_222222_256x240.png +0 -0
  31. data/vendor/assets/images/images/ui-icons_2e83ff_256x240.png +0 -0
  32. data/vendor/assets/images/images/ui-icons_454545_256x240.png +0 -0
  33. data/vendor/assets/images/images/ui-icons_888888_256x240.png +0 -0
  34. data/vendor/assets/images/images/ui-icons_cd0a0a_256x240.png +0 -0
  35. data/vendor/assets/images/sort.png +0 -0
  36. data/vendor/assets/images/sort_asc.png +0 -0
  37. data/vendor/assets/images/sort_desc.png +0 -0
  38. data/vendor/assets/images/sprite-skin-flat.png +0 -0
  39. data/vendor/assets/images/sprite-skin-flat2.png +0 -0
  40. data/vendor/assets/images/sprite-skin-nice.png +0 -0
  41. data/vendor/assets/images/sprite-skin-simple.png +0 -0
  42. data/vendor/assets/images/spritemap.png +0 -0
  43. data/vendor/assets/images/spritemap@2x.png +0 -0
  44. data/vendor/assets/javascripts/backbone/backbone.min.js +2 -0
  45. data/vendor/assets/javascripts/backbone/underscore.min.js +6 -0
  46. data/vendor/assets/javascripts/chartjs/Chart.min.js +11 -0
  47. data/vendor/assets/javascripts/codemirror/codemirror.js +7830 -0
  48. data/vendor/assets/javascripts/codemirror/mode/apl/apl.js +175 -0
  49. data/vendor/assets/javascripts/codemirror/mode/apl/index.html +72 -0
  50. data/vendor/assets/javascripts/codemirror/mode/asterisk/asterisk.js +198 -0
  51. data/vendor/assets/javascripts/codemirror/mode/asterisk/index.html +154 -0
  52. data/vendor/assets/javascripts/codemirror/mode/clike/clike.js +474 -0
  53. data/vendor/assets/javascripts/codemirror/mode/clike/index.html +225 -0
  54. data/vendor/assets/javascripts/codemirror/mode/clike/scala.html +767 -0
  55. data/vendor/assets/javascripts/codemirror/mode/clojure/clojure.js +243 -0
  56. data/vendor/assets/javascripts/codemirror/mode/clojure/index.html +88 -0
  57. data/vendor/assets/javascripts/codemirror/mode/cobol/cobol.js +255 -0
  58. data/vendor/assets/javascripts/codemirror/mode/cobol/index.html +210 -0
  59. data/vendor/assets/javascripts/codemirror/mode/coffeescript/coffeescript.js +369 -0
  60. data/vendor/assets/javascripts/codemirror/mode/coffeescript/index.html +740 -0
  61. data/vendor/assets/javascripts/codemirror/mode/commonlisp/commonlisp.js +120 -0
  62. data/vendor/assets/javascripts/codemirror/mode/commonlisp/index.html +177 -0
  63. data/vendor/assets/javascripts/codemirror/mode/css/css.js +717 -0
  64. data/vendor/assets/javascripts/codemirror/mode/css/index.html +70 -0
  65. data/vendor/assets/javascripts/codemirror/mode/css/less.html +152 -0
  66. data/vendor/assets/javascripts/codemirror/mode/css/less_test.js +51 -0
  67. data/vendor/assets/javascripts/codemirror/mode/css/scss.html +157 -0
  68. data/vendor/assets/javascripts/codemirror/mode/css/scss_test.js +110 -0
  69. data/vendor/assets/javascripts/codemirror/mode/css/test.js +135 -0
  70. data/vendor/assets/javascripts/codemirror/mode/cypher/cypher.js +146 -0
  71. data/vendor/assets/javascripts/codemirror/mode/cypher/index.html +63 -0
  72. data/vendor/assets/javascripts/codemirror/mode/d/d.js +218 -0
  73. data/vendor/assets/javascripts/codemirror/mode/d/index.html +273 -0
  74. data/vendor/assets/javascripts/codemirror/mode/diff/diff.js +47 -0
  75. data/vendor/assets/javascripts/codemirror/mode/diff/index.html +117 -0
  76. data/vendor/assets/javascripts/codemirror/mode/django/django.js +67 -0
  77. data/vendor/assets/javascripts/codemirror/mode/django/index.html +63 -0
  78. data/vendor/assets/javascripts/codemirror/mode/dtd/dtd.js +142 -0
  79. data/vendor/assets/javascripts/codemirror/mode/dtd/index.html +89 -0
  80. data/vendor/assets/javascripts/codemirror/mode/dylan/dylan.js +299 -0
  81. data/vendor/assets/javascripts/codemirror/mode/dylan/index.html +407 -0
  82. data/vendor/assets/javascripts/codemirror/mode/ecl/ecl.js +207 -0
  83. data/vendor/assets/javascripts/codemirror/mode/ecl/index.html +52 -0
  84. data/vendor/assets/javascripts/codemirror/mode/eiffel/eiffel.js +162 -0
  85. data/vendor/assets/javascripts/codemirror/mode/eiffel/index.html +429 -0
  86. data/vendor/assets/javascripts/codemirror/mode/erlang/erlang.js +622 -0
  87. data/vendor/assets/javascripts/codemirror/mode/erlang/index.html +76 -0
  88. data/vendor/assets/javascripts/codemirror/mode/fortran/fortran.js +188 -0
  89. data/vendor/assets/javascripts/codemirror/mode/fortran/index.html +81 -0
  90. data/vendor/assets/javascripts/codemirror/mode/gas/gas.js +345 -0
  91. data/vendor/assets/javascripts/codemirror/mode/gas/index.html +68 -0
  92. data/vendor/assets/javascripts/codemirror/mode/gfm/gfm.js +122 -0
  93. data/vendor/assets/javascripts/codemirror/mode/gfm/index.html +82 -0
  94. data/vendor/assets/javascripts/codemirror/mode/gfm/test.js +164 -0
  95. data/vendor/assets/javascripts/codemirror/mode/gherkin/gherkin.js +178 -0
  96. data/vendor/assets/javascripts/codemirror/mode/gherkin/index.html +48 -0
  97. data/vendor/assets/javascripts/codemirror/mode/go/go.js +184 -0
  98. data/vendor/assets/javascripts/codemirror/mode/go/index.html +85 -0
  99. data/vendor/assets/javascripts/codemirror/mode/groovy/groovy.js +226 -0
  100. data/vendor/assets/javascripts/codemirror/mode/groovy/index.html +84 -0
  101. data/vendor/assets/javascripts/codemirror/mode/haml/haml.js +159 -0
  102. data/vendor/assets/javascripts/codemirror/mode/haml/index.html +79 -0
  103. data/vendor/assets/javascripts/codemirror/mode/haml/test.js +97 -0
  104. data/vendor/assets/javascripts/codemirror/mode/haskell/haskell.js +267 -0
  105. data/vendor/assets/javascripts/codemirror/mode/haskell/index.html +73 -0
  106. data/vendor/assets/javascripts/codemirror/mode/haxe/haxe.js +518 -0
  107. data/vendor/assets/javascripts/codemirror/mode/haxe/index.html +124 -0
  108. data/vendor/assets/javascripts/codemirror/mode/htmlembedded/htmlembedded.js +86 -0
  109. data/vendor/assets/javascripts/codemirror/mode/htmlembedded/index.html +58 -0
  110. data/vendor/assets/javascripts/codemirror/mode/htmlmixed/htmlmixed.js +121 -0
  111. data/vendor/assets/javascripts/codemirror/mode/htmlmixed/index.html +85 -0
  112. data/vendor/assets/javascripts/codemirror/mode/http/http.js +113 -0
  113. data/vendor/assets/javascripts/codemirror/mode/http/index.html +45 -0
  114. data/vendor/assets/javascripts/codemirror/mode/index.html +125 -0
  115. data/vendor/assets/javascripts/codemirror/mode/jade/index.html +70 -0
  116. data/vendor/assets/javascripts/codemirror/mode/jade/jade.js +590 -0
  117. data/vendor/assets/javascripts/codemirror/mode/javascript/index.html +114 -0
  118. data/vendor/assets/javascripts/codemirror/mode/javascript/javascript.js +684 -0
  119. data/vendor/assets/javascripts/codemirror/mode/javascript/json-ld.html +72 -0
  120. data/vendor/assets/javascripts/codemirror/mode/javascript/test.js +194 -0
  121. data/vendor/assets/javascripts/codemirror/mode/javascript/typescript.html +61 -0
  122. data/vendor/assets/javascripts/codemirror/mode/jinja2/index.html +54 -0
  123. data/vendor/assets/javascripts/codemirror/mode/jinja2/jinja2.js +142 -0
  124. data/vendor/assets/javascripts/codemirror/mode/julia/index.html +195 -0
  125. data/vendor/assets/javascripts/codemirror/mode/julia/julia.js +301 -0
  126. data/vendor/assets/javascripts/codemirror/mode/kotlin/index.html +89 -0
  127. data/vendor/assets/javascripts/codemirror/mode/kotlin/kotlin.js +280 -0
  128. data/vendor/assets/javascripts/codemirror/mode/livescript/index.html +459 -0
  129. data/vendor/assets/javascripts/codemirror/mode/livescript/livescript.js +280 -0
  130. data/vendor/assets/javascripts/codemirror/mode/lua/index.html +85 -0
  131. data/vendor/assets/javascripts/codemirror/mode/lua/lua.js +159 -0
  132. data/vendor/assets/javascripts/codemirror/mode/markdown/index.html +359 -0
  133. data/vendor/assets/javascripts/codemirror/mode/markdown/markdown.js +758 -0
  134. data/vendor/assets/javascripts/codemirror/mode/markdown/test.js +754 -0
  135. data/vendor/assets/javascripts/codemirror/mode/meta.js +144 -0
  136. data/vendor/assets/javascripts/codemirror/mode/mirc/index.html +160 -0
  137. data/vendor/assets/javascripts/codemirror/mode/mirc/mirc.js +193 -0
  138. data/vendor/assets/javascripts/codemirror/mode/mllike/index.html +179 -0
  139. data/vendor/assets/javascripts/codemirror/mode/mllike/mllike.js +205 -0
  140. data/vendor/assets/javascripts/codemirror/mode/modelica/index.html +67 -0
  141. data/vendor/assets/javascripts/codemirror/mode/modelica/modelica.js +245 -0
  142. data/vendor/assets/javascripts/codemirror/mode/nginx/index.html +181 -0
  143. data/vendor/assets/javascripts/codemirror/mode/nginx/nginx.js +178 -0
  144. data/vendor/assets/javascripts/codemirror/mode/ntriples/index.html +45 -0
  145. data/vendor/assets/javascripts/codemirror/mode/ntriples/ntriples.js +186 -0
  146. data/vendor/assets/javascripts/codemirror/mode/octave/index.html +83 -0
  147. data/vendor/assets/javascripts/codemirror/mode/octave/octave.js +135 -0
  148. data/vendor/assets/javascripts/codemirror/mode/pascal/index.html +61 -0
  149. data/vendor/assets/javascripts/codemirror/mode/pascal/pascal.js +109 -0
  150. data/vendor/assets/javascripts/codemirror/mode/pegjs/index.html +66 -0
  151. data/vendor/assets/javascripts/codemirror/mode/pegjs/pegjs.js +114 -0
  152. data/vendor/assets/javascripts/codemirror/mode/perl/index.html +75 -0
  153. data/vendor/assets/javascripts/codemirror/mode/perl/perl.js +832 -0
  154. data/vendor/assets/javascripts/codemirror/mode/php/index.html +64 -0
  155. data/vendor/assets/javascripts/codemirror/mode/php/php.js +226 -0
  156. data/vendor/assets/javascripts/codemirror/mode/php/test.js +154 -0
  157. data/vendor/assets/javascripts/codemirror/mode/pig/index.html +55 -0
  158. data/vendor/assets/javascripts/codemirror/mode/pig/pig.js +188 -0
  159. data/vendor/assets/javascripts/codemirror/mode/properties/index.html +53 -0
  160. data/vendor/assets/javascripts/codemirror/mode/properties/properties.js +78 -0
  161. data/vendor/assets/javascripts/codemirror/mode/puppet/index.html +121 -0
  162. data/vendor/assets/javascripts/codemirror/mode/puppet/puppet.js +220 -0
  163. data/vendor/assets/javascripts/codemirror/mode/python/index.html +198 -0
  164. data/vendor/assets/javascripts/codemirror/mode/python/python.js +359 -0
  165. data/vendor/assets/javascripts/codemirror/mode/q/index.html +144 -0
  166. data/vendor/assets/javascripts/codemirror/mode/q/q.js +139 -0
  167. data/vendor/assets/javascripts/codemirror/mode/r/index.html +85 -0
  168. data/vendor/assets/javascripts/codemirror/mode/r/r.js +162 -0
  169. data/vendor/assets/javascripts/codemirror/mode/rpm/changes/index.html +66 -0
  170. data/vendor/assets/javascripts/codemirror/mode/rpm/index.html +149 -0
  171. data/vendor/assets/javascripts/codemirror/mode/rpm/rpm.js +101 -0
  172. data/vendor/assets/javascripts/codemirror/mode/rst/index.html +535 -0
  173. data/vendor/assets/javascripts/codemirror/mode/rst/rst.js +557 -0
  174. data/vendor/assets/javascripts/codemirror/mode/ruby/index.html +184 -0
  175. data/vendor/assets/javascripts/codemirror/mode/ruby/ruby.js +285 -0
  176. data/vendor/assets/javascripts/codemirror/mode/ruby/test.js +14 -0
  177. data/vendor/assets/javascripts/codemirror/mode/rust/index.html +60 -0
  178. data/vendor/assets/javascripts/codemirror/mode/rust/rust.js +451 -0
  179. data/vendor/assets/javascripts/codemirror/mode/sass/index.html +66 -0
  180. data/vendor/assets/javascripts/codemirror/mode/sass/sass.js +327 -0
  181. data/vendor/assets/javascripts/codemirror/mode/scheme/index.html +77 -0
  182. data/vendor/assets/javascripts/codemirror/mode/scheme/scheme.js +248 -0
  183. data/vendor/assets/javascripts/codemirror/mode/shell/index.html +66 -0
  184. data/vendor/assets/javascripts/codemirror/mode/shell/shell.js +138 -0
  185. data/vendor/assets/javascripts/codemirror/mode/shell/test.js +58 -0
  186. data/vendor/assets/javascripts/codemirror/mode/sieve/index.html +93 -0
  187. data/vendor/assets/javascripts/codemirror/mode/sieve/sieve.js +193 -0
  188. data/vendor/assets/javascripts/codemirror/mode/slim/index.html +96 -0
  189. data/vendor/assets/javascripts/codemirror/mode/slim/slim.js +575 -0
  190. data/vendor/assets/javascripts/codemirror/mode/slim/test.js +96 -0
  191. data/vendor/assets/javascripts/codemirror/mode/smalltalk/index.html +68 -0
  192. data/vendor/assets/javascripts/codemirror/mode/smalltalk/smalltalk.js +168 -0
  193. data/vendor/assets/javascripts/codemirror/mode/smarty/index.html +136 -0
  194. data/vendor/assets/javascripts/codemirror/mode/smarty/smarty.js +221 -0
  195. data/vendor/assets/javascripts/codemirror/mode/smartymixed/index.html +114 -0
  196. data/vendor/assets/javascripts/codemirror/mode/smartymixed/smartymixed.js +197 -0
  197. data/vendor/assets/javascripts/codemirror/mode/solr/index.html +57 -0
  198. data/vendor/assets/javascripts/codemirror/mode/solr/solr.js +104 -0
  199. data/vendor/assets/javascripts/codemirror/mode/sparql/index.html +53 -0
  200. data/vendor/assets/javascripts/codemirror/mode/sparql/sparql.js +160 -0
  201. data/vendor/assets/javascripts/codemirror/mode/sql/index.html +84 -0
  202. data/vendor/assets/javascripts/codemirror/mode/sql/sql.js +393 -0
  203. data/vendor/assets/javascripts/codemirror/mode/stex/index.html +110 -0
  204. data/vendor/assets/javascripts/codemirror/mode/stex/stex.js +262 -0
  205. data/vendor/assets/javascripts/codemirror/mode/stex/test.js +123 -0
  206. data/vendor/assets/javascripts/codemirror/mode/tcl/index.html +142 -0
  207. data/vendor/assets/javascripts/codemirror/mode/tcl/tcl.js +147 -0
  208. data/vendor/assets/javascripts/codemirror/mode/textile/index.html +191 -0
  209. data/vendor/assets/javascripts/codemirror/mode/textile/test.js +406 -0
  210. data/vendor/assets/javascripts/codemirror/mode/textile/textile.js +553 -0
  211. data/vendor/assets/javascripts/codemirror/mode/tiddlywiki/index.html +154 -0
  212. data/vendor/assets/javascripts/codemirror/mode/tiddlywiki/tiddlywiki.css +14 -0
  213. data/vendor/assets/javascripts/codemirror/mode/tiddlywiki/tiddlywiki.js +369 -0
  214. data/vendor/assets/javascripts/codemirror/mode/tiki/index.html +95 -0
  215. data/vendor/assets/javascripts/codemirror/mode/tiki/tiki.css +26 -0
  216. data/vendor/assets/javascripts/codemirror/mode/tiki/tiki.js +323 -0
  217. data/vendor/assets/javascripts/codemirror/mode/toml/index.html +73 -0
  218. data/vendor/assets/javascripts/codemirror/mode/toml/toml.js +88 -0
  219. data/vendor/assets/javascripts/codemirror/mode/tornado/index.html +63 -0
  220. data/vendor/assets/javascripts/codemirror/mode/tornado/tornado.js +68 -0
  221. data/vendor/assets/javascripts/codemirror/mode/turtle/index.html +50 -0
  222. data/vendor/assets/javascripts/codemirror/mode/turtle/turtle.js +160 -0
  223. data/vendor/assets/javascripts/codemirror/mode/vb/index.html +102 -0
  224. data/vendor/assets/javascripts/codemirror/mode/vb/vb.js +274 -0
  225. data/vendor/assets/javascripts/codemirror/mode/vbscript/index.html +55 -0
  226. data/vendor/assets/javascripts/codemirror/mode/vbscript/vbscript.js +350 -0
  227. data/vendor/assets/javascripts/codemirror/mode/velocity/index.html +118 -0
  228. data/vendor/assets/javascripts/codemirror/mode/velocity/velocity.js +201 -0
  229. data/vendor/assets/javascripts/codemirror/mode/verilog/index.html +120 -0
  230. data/vendor/assets/javascripts/codemirror/mode/verilog/test.js +273 -0
  231. data/vendor/assets/javascripts/codemirror/mode/verilog/verilog.js +364 -0
  232. data/vendor/assets/javascripts/codemirror/mode/xml/index.html +57 -0
  233. data/vendor/assets/javascripts/codemirror/mode/xml/test.js +51 -0
  234. data/vendor/assets/javascripts/codemirror/mode/xml/xml.js +384 -0
  235. data/vendor/assets/javascripts/codemirror/mode/xquery/index.html +210 -0
  236. data/vendor/assets/javascripts/codemirror/mode/xquery/test.js +67 -0
  237. data/vendor/assets/javascripts/codemirror/mode/xquery/xquery.js +447 -0
  238. data/vendor/assets/javascripts/codemirror/mode/yaml/index.html +80 -0
  239. data/vendor/assets/javascripts/codemirror/mode/yaml/yaml.js +112 -0
  240. data/vendor/assets/javascripts/codemirror/mode/z80/index.html +52 -0
  241. data/vendor/assets/javascripts/codemirror/mode/z80/z80.js +100 -0
  242. data/vendor/assets/javascripts/datapicker/bootstrap-datepicker.js +1671 -0
  243. data/vendor/assets/javascripts/flot/curvedLines.js +315 -0
  244. data/vendor/assets/javascripts/flot/excanvas.min.js +1 -0
  245. data/vendor/assets/javascripts/flot/jquery.flot.js +3168 -0
  246. data/vendor/assets/javascripts/flot/jquery.flot.pie.js +820 -0
  247. data/vendor/assets/javascripts/flot/jquery.flot.resize.js +59 -0
  248. data/vendor/assets/javascripts/flot/jquery.flot.spline.js +212 -0
  249. data/vendor/assets/javascripts/flot/jquery.flot.symbol.js +71 -0
  250. data/vendor/assets/javascripts/flot/jquery.flot.time.js +432 -0
  251. data/vendor/assets/javascripts/flot/jquery.flot.tooltip.min.js +12 -0
  252. data/vendor/assets/javascripts/jquery/jquery-2.1.1.js +4 -0
  253. data/vendor/assets/javascripts/jquery-ui/i18n/jquery-ui-i18n.min.js +6 -0
  254. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-af.min.js +4 -0
  255. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-ar-DZ.min.js +4 -0
  256. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-ar.min.js +4 -0
  257. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-az.min.js +4 -0
  258. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-be.min.js +4 -0
  259. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-bg.min.js +4 -0
  260. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-bs.min.js +4 -0
  261. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-ca.min.js +4 -0
  262. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-cs.min.js +4 -0
  263. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-cy-GB.min.js +4 -0
  264. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-da.min.js +4 -0
  265. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-de.min.js +4 -0
  266. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-el.min.js +4 -0
  267. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-en-AU.min.js +4 -0
  268. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-en-GB.min.js +4 -0
  269. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-en-NZ.min.js +4 -0
  270. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-eo.min.js +4 -0
  271. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-es.min.js +4 -0
  272. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-et.min.js +4 -0
  273. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-eu.min.js +4 -0
  274. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-fa.min.js +4 -0
  275. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-fi.min.js +4 -0
  276. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-fo.min.js +4 -0
  277. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-fr-CA.min.js +4 -0
  278. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-fr-CH.min.js +4 -0
  279. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-fr.min.js +4 -0
  280. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-gl.min.js +4 -0
  281. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-he.min.js +4 -0
  282. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-hi.min.js +4 -0
  283. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-hr.min.js +4 -0
  284. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-hu.min.js +4 -0
  285. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-hy.min.js +4 -0
  286. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-id.min.js +4 -0
  287. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-is.min.js +4 -0
  288. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-it.min.js +4 -0
  289. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-ja.min.js +4 -0
  290. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-ka.min.js +4 -0
  291. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-kk.min.js +4 -0
  292. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-km.min.js +4 -0
  293. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-ko.min.js +4 -0
  294. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-ky.min.js +4 -0
  295. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-lb.min.js +4 -0
  296. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-lt.min.js +4 -0
  297. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-lv.min.js +4 -0
  298. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-mk.min.js +4 -0
  299. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-ml.min.js +4 -0
  300. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-ms.min.js +4 -0
  301. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-nb.min.js +4 -0
  302. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-nl-BE.min.js +4 -0
  303. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-nl.min.js +4 -0
  304. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-nn.min.js +4 -0
  305. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-no.min.js +4 -0
  306. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-pl.min.js +4 -0
  307. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-pt-BR.min.js +4 -0
  308. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-pt.min.js +4 -0
  309. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-rm.min.js +4 -0
  310. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-ro.min.js +4 -0
  311. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-ru.min.js +4 -0
  312. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-sk.min.js +4 -0
  313. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-sl.min.js +4 -0
  314. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-sq.min.js +4 -0
  315. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-sr-SR.min.js +4 -0
  316. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-sr.min.js +4 -0
  317. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-sv.min.js +4 -0
  318. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-ta.min.js +4 -0
  319. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-th.min.js +4 -0
  320. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-tj.min.js +4 -0
  321. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-tr.min.js +4 -0
  322. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-uk.min.js +4 -0
  323. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-vi.min.js +4 -0
  324. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-zh-CN.min.js +4 -0
  325. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-zh-HK.min.js +4 -0
  326. data/vendor/assets/javascripts/jquery-ui/i18n/jquery.ui.datepicker-zh-TW.min.js +4 -0
  327. data/vendor/assets/javascripts/jquery-ui/images/animated-overlay.gif +0 -0
  328. data/vendor/assets/javascripts/jquery-ui/images/ui-bg_flat_0_aaaaaa_40x100.png +0 -0
  329. data/vendor/assets/javascripts/jquery-ui/images/ui-bg_flat_75_ffffff_40x100.png +0 -0
  330. data/vendor/assets/javascripts/jquery-ui/images/ui-bg_glass_55_fbf9ee_1x400.png +0 -0
  331. data/vendor/assets/javascripts/jquery-ui/images/ui-bg_glass_65_ffffff_1x400.png +0 -0
  332. data/vendor/assets/javascripts/jquery-ui/images/ui-bg_glass_75_dadada_1x400.png +0 -0
  333. data/vendor/assets/javascripts/jquery-ui/images/ui-bg_glass_75_e6e6e6_1x400.png +0 -0
  334. data/vendor/assets/javascripts/jquery-ui/images/ui-bg_glass_95_fef1ec_1x400.png +0 -0
  335. data/vendor/assets/javascripts/jquery-ui/images/ui-bg_highlight-soft_75_cccccc_1x100.png +0 -0
  336. data/vendor/assets/javascripts/jquery-ui/images/ui-icons_222222_256x240.png +0 -0
  337. data/vendor/assets/javascripts/jquery-ui/images/ui-icons_2e83ff_256x240.png +0 -0
  338. data/vendor/assets/javascripts/jquery-ui/images/ui-icons_454545_256x240.png +0 -0
  339. data/vendor/assets/javascripts/jquery-ui/images/ui-icons_888888_256x240.png +0 -0
  340. data/vendor/assets/javascripts/jquery-ui/images/ui-icons_cd0a0a_256x240.png +0 -0
  341. data/vendor/assets/javascripts/jquery-ui/jquery-ui-1.10.4.custom.min.css +7 -0
  342. data/vendor/assets/javascripts/jquery-ui/jquery-ui-1.10.4.min.js +7 -0
  343. data/vendor/assets/javascripts/jquery-ui/jquery-ui.css +1189 -0
  344. data/vendor/assets/javascripts/jquery-ui/jquery-ui.js +15040 -0
  345. data/vendor/assets/javascripts/jquery-ui/jquery-ui.min.css +4 -0
  346. data/vendor/assets/javascripts/jquery-ui/jquery-ui.min.js +12 -0
  347. data/vendor/assets/javascripts/metisMenu/jquery.metisMenu.js +120 -0
  348. data/vendor/assets/javascripts/morris/morris.js +1888 -0
  349. data/vendor/assets/javascripts/morris/raphael-2.1.0.min.js +10 -0
  350. data/vendor/assets/javascripts/pace/pace.min.js +2 -0
  351. data/vendor/assets/javascripts/peity/jquery.peity.min.js +13 -0
  352. data/vendor/assets/javascripts/radioactive/radioactive.min.js +1 -0
  353. data/vendor/assets/javascripts/rickshaw/rickshaw.min.js +3 -0
  354. data/vendor/assets/javascripts/rickshaw/vendor/d3.v3.js +5 -0
  355. data/vendor/assets/javascripts/sparkline/jquery.sparkline.min.js +5 -0
  356. data/vendor/assets/javascripts/summernote/summernote.min.js +6331 -0
  357. data/vendor/assets/javascripts/toastr/toastr.min.js +2 -0
  358. data/vendor/assets/stylesheets/codemirror/codemirror.css +309 -0
  359. data/vendor/assets/stylesheets/codemirror/elegant.css +13 -0
  360. data/vendor/assets/stylesheets/datapicker/datepicker3.css +789 -0
  361. data/vendor/assets/stylesheets/summernote/summernote-bs3.css +5970 -0
  362. data/vendor/assets/stylesheets/summernote/summernote.css +446 -0
  363. data/vendor/assets/stylesheets/toastr/toastr.css +222 -0
  364. metadata +362 -3
  365. data/spec/dummy/tmp/pids/server.pid +0 -1
@@ -0,0 +1,120 @@
1
+ <!doctype html>
2
+
3
+ <title>CodeMirror: Verilog/SystemVerilog mode</title>
4
+ <meta charset="utf-8"/>
5
+ <link rel=stylesheet href="../../doc/docs.css">
6
+
7
+ <link rel="stylesheet" href="../../lib/codemirror.css">
8
+ <script src="../../lib/codemirror.js"></script>
9
+ <script src="../../addon/edit/matchbrackets.js"></script>
10
+ <script src="verilog.js"></script>
11
+ <style type="text/css">.CodeMirror {border-top: 1px solid black; border-bottom: 1px solid black;}</style>
12
+ <div id=nav>
13
+ <a href="http://codemirror.net"><h1>CodeMirror</h1><img id=logo src="../../doc/logo.png"></a>
14
+
15
+ <ul>
16
+ <li><a href="../../index.html">Home</a>
17
+ <li><a href="../../doc/manual.html">Manual</a>
18
+ <li><a href="https://github.com/codemirror/codemirror">Code</a>
19
+ </ul>
20
+ <ul>
21
+ <li><a href="../index.html">Language modes</a>
22
+ <li><a class=active href="#">Verilog/SystemVerilog</a>
23
+ </ul>
24
+ </div>
25
+
26
+ <article>
27
+ <h2>SystemVerilog mode</h2>
28
+
29
+ <div><textarea id="code" name="code">
30
+ // Literals
31
+ 1'b0
32
+ 1'bx
33
+ 1'bz
34
+ 16'hDC78
35
+ 'hdeadbeef
36
+ 'b0011xxzz
37
+ 1234
38
+ 32'd5678
39
+ 3.4e6
40
+ -128.7
41
+
42
+ // Macro definition
43
+ `define BUS_WIDTH = 8;
44
+
45
+ // Module definition
46
+ module block(
47
+ input clk,
48
+ input rst_n,
49
+ input [`BUS_WIDTH-1:0] data_in,
50
+ output [`BUS_WIDTH-1:0] data_out
51
+ );
52
+
53
+ always @(posedge clk or negedge rst_n) begin
54
+
55
+ if (~rst_n) begin
56
+ data_out <= 8'b0;
57
+ end else begin
58
+ data_out <= data_in;
59
+ end
60
+
61
+ if (~rst_n)
62
+ data_out <= 8'b0;
63
+ else
64
+ data_out <= data_in;
65
+
66
+ if (~rst_n)
67
+ begin
68
+ data_out <= 8'b0;
69
+ end
70
+ else
71
+ begin
72
+ data_out <= data_in;
73
+ end
74
+
75
+ end
76
+
77
+ endmodule
78
+
79
+ // Class definition
80
+ class test;
81
+
82
+ /**
83
+ * Sum two integers
84
+ */
85
+ function int sum(int a, int b);
86
+ int result = a + b;
87
+ string msg = $sformatf("%d + %d = %d", a, b, result);
88
+ $display(msg);
89
+ return result;
90
+ endfunction
91
+
92
+ task delay(int num_cycles);
93
+ repeat(num_cycles) #1;
94
+ endtask
95
+
96
+ endclass
97
+
98
+ </textarea></div>
99
+
100
+ <script>
101
+ var editor = CodeMirror.fromTextArea(document.getElementById("code"), {
102
+ lineNumbers: true,
103
+ matchBrackets: true,
104
+ mode: {
105
+ name: "verilog",
106
+ noIndentKeywords: ["package"]
107
+ }
108
+ });
109
+ </script>
110
+
111
+ <p>
112
+ Syntax highlighting and indentation for the Verilog and SystemVerilog languages (IEEE 1800).
113
+ <h2>Configuration options:</h2>
114
+ <ul>
115
+ <li><strong>noIndentKeywords</strong> - List of keywords which should not cause identation to increase. E.g. ["package", "module"]. Default: None</li>
116
+ </ul>
117
+ </p>
118
+
119
+ <p><strong>MIME types defined:</strong> <code>text/x-verilog</code> and <code>text/x-systemverilog</code>.</p>
120
+ </article>
@@ -0,0 +1,273 @@
1
+ // CodeMirror, copyright (c) by Marijn Haverbeke and others
2
+ // Distributed under an MIT license: http://codemirror.net/LICENSE
3
+
4
+ (function() {
5
+ var mode = CodeMirror.getMode({indentUnit: 4}, "verilog");
6
+ function MT(name) { test.mode(name, mode, Array.prototype.slice.call(arguments, 1)); }
7
+
8
+ MT("binary_literals",
9
+ "[number 1'b0]",
10
+ "[number 1'b1]",
11
+ "[number 1'bx]",
12
+ "[number 1'bz]",
13
+ "[number 1'bX]",
14
+ "[number 1'bZ]",
15
+ "[number 1'B0]",
16
+ "[number 1'B1]",
17
+ "[number 1'Bx]",
18
+ "[number 1'Bz]",
19
+ "[number 1'BX]",
20
+ "[number 1'BZ]",
21
+ "[number 1'b0]",
22
+ "[number 1'b1]",
23
+ "[number 2'b01]",
24
+ "[number 2'bxz]",
25
+ "[number 2'b11]",
26
+ "[number 2'b10]",
27
+ "[number 2'b1Z]",
28
+ "[number 12'b0101_0101_0101]",
29
+ "[number 1'b 0]",
30
+ "[number 'b0101]"
31
+ );
32
+
33
+ MT("octal_literals",
34
+ "[number 3'o7]",
35
+ "[number 3'O7]",
36
+ "[number 3'so7]",
37
+ "[number 3'SO7]"
38
+ );
39
+
40
+ MT("decimal_literals",
41
+ "[number 0]",
42
+ "[number 1]",
43
+ "[number 7]",
44
+ "[number 123_456]",
45
+ "[number 'd33]",
46
+ "[number 8'd255]",
47
+ "[number 8'D255]",
48
+ "[number 8'sd255]",
49
+ "[number 8'SD255]",
50
+ "[number 32'd123]",
51
+ "[number 32 'd123]",
52
+ "[number 32 'd 123]"
53
+ );
54
+
55
+ MT("hex_literals",
56
+ "[number 4'h0]",
57
+ "[number 4'ha]",
58
+ "[number 4'hF]",
59
+ "[number 4'hx]",
60
+ "[number 4'hz]",
61
+ "[number 4'hX]",
62
+ "[number 4'hZ]",
63
+ "[number 32'hdc78]",
64
+ "[number 32'hDC78]",
65
+ "[number 32 'hDC78]",
66
+ "[number 32'h DC78]",
67
+ "[number 32 'h DC78]",
68
+ "[number 32'h44x7]",
69
+ "[number 32'hFFF?]"
70
+ );
71
+
72
+ MT("real_number_literals",
73
+ "[number 1.2]",
74
+ "[number 0.1]",
75
+ "[number 2394.26331]",
76
+ "[number 1.2E12]",
77
+ "[number 1.2e12]",
78
+ "[number 1.30e-2]",
79
+ "[number 0.1e-0]",
80
+ "[number 23E10]",
81
+ "[number 29E-2]",
82
+ "[number 236.123_763_e-12]"
83
+ );
84
+
85
+ MT("operators",
86
+ "[meta ^]"
87
+ );
88
+
89
+ MT("keywords",
90
+ "[keyword logic]",
91
+ "[keyword logic] [variable foo]",
92
+ "[keyword reg] [variable abc]"
93
+ );
94
+
95
+ MT("variables",
96
+ "[variable _leading_underscore]",
97
+ "[variable _if]",
98
+ "[number 12] [variable foo]",
99
+ "[variable foo] [number 14]"
100
+ );
101
+
102
+ MT("tick_defines",
103
+ "[def `FOO]",
104
+ "[def `foo]",
105
+ "[def `FOO_bar]"
106
+ );
107
+
108
+ MT("system_calls",
109
+ "[meta $display]",
110
+ "[meta $vpi_printf]"
111
+ );
112
+
113
+ MT("line_comment", "[comment // Hello world]");
114
+
115
+ // Alignment tests
116
+ MT("align_port_map_style1",
117
+ /**
118
+ * mod mod(.a(a),
119
+ * .b(b)
120
+ * );
121
+ */
122
+ "[variable mod] [variable mod][bracket (].[variable a][bracket (][variable a][bracket )],",
123
+ " .[variable b][bracket (][variable b][bracket )]",
124
+ " [bracket )];",
125
+ ""
126
+ );
127
+
128
+ MT("align_port_map_style2",
129
+ /**
130
+ * mod mod(
131
+ * .a(a),
132
+ * .b(b)
133
+ * );
134
+ */
135
+ "[variable mod] [variable mod][bracket (]",
136
+ " .[variable a][bracket (][variable a][bracket )],",
137
+ " .[variable b][bracket (][variable b][bracket )]",
138
+ "[bracket )];",
139
+ ""
140
+ );
141
+
142
+ // Indentation tests
143
+ MT("indent_single_statement_if",
144
+ "[keyword if] [bracket (][variable foo][bracket )]",
145
+ " [keyword break];",
146
+ ""
147
+ );
148
+
149
+ MT("no_indent_after_single_line_if",
150
+ "[keyword if] [bracket (][variable foo][bracket )] [keyword break];",
151
+ ""
152
+ );
153
+
154
+ MT("indent_after_if_begin_same_line",
155
+ "[keyword if] [bracket (][variable foo][bracket )] [keyword begin]",
156
+ " [keyword break];",
157
+ " [keyword break];",
158
+ "[keyword end]",
159
+ ""
160
+ );
161
+
162
+ MT("indent_after_if_begin_next_line",
163
+ "[keyword if] [bracket (][variable foo][bracket )]",
164
+ " [keyword begin]",
165
+ " [keyword break];",
166
+ " [keyword break];",
167
+ " [keyword end]",
168
+ ""
169
+ );
170
+
171
+ MT("indent_single_statement_if_else",
172
+ "[keyword if] [bracket (][variable foo][bracket )]",
173
+ " [keyword break];",
174
+ "[keyword else]",
175
+ " [keyword break];",
176
+ ""
177
+ );
178
+
179
+ MT("indent_if_else_begin_same_line",
180
+ "[keyword if] [bracket (][variable foo][bracket )] [keyword begin]",
181
+ " [keyword break];",
182
+ " [keyword break];",
183
+ "[keyword end] [keyword else] [keyword begin]",
184
+ " [keyword break];",
185
+ " [keyword break];",
186
+ "[keyword end]",
187
+ ""
188
+ );
189
+
190
+ MT("indent_if_else_begin_next_line",
191
+ "[keyword if] [bracket (][variable foo][bracket )]",
192
+ " [keyword begin]",
193
+ " [keyword break];",
194
+ " [keyword break];",
195
+ " [keyword end]",
196
+ "[keyword else]",
197
+ " [keyword begin]",
198
+ " [keyword break];",
199
+ " [keyword break];",
200
+ " [keyword end]",
201
+ ""
202
+ );
203
+
204
+ MT("indent_if_nested_without_begin",
205
+ "[keyword if] [bracket (][variable foo][bracket )]",
206
+ " [keyword if] [bracket (][variable foo][bracket )]",
207
+ " [keyword if] [bracket (][variable foo][bracket )]",
208
+ " [keyword break];",
209
+ ""
210
+ );
211
+
212
+ MT("indent_case",
213
+ "[keyword case] [bracket (][variable state][bracket )]",
214
+ " [variable FOO]:",
215
+ " [keyword break];",
216
+ " [variable BAR]:",
217
+ " [keyword break];",
218
+ "[keyword endcase]",
219
+ ""
220
+ );
221
+
222
+ MT("unindent_after_end_with_preceding_text",
223
+ "[keyword begin]",
224
+ " [keyword break]; [keyword end]",
225
+ ""
226
+ );
227
+
228
+ MT("export_function_one_line_does_not_indent",
229
+ "[keyword export] [string \"DPI-C\"] [keyword function] [variable helloFromSV];",
230
+ ""
231
+ );
232
+
233
+ MT("export_task_one_line_does_not_indent",
234
+ "[keyword export] [string \"DPI-C\"] [keyword task] [variable helloFromSV];",
235
+ ""
236
+ );
237
+
238
+ MT("export_function_two_lines_indents_properly",
239
+ "[keyword export]",
240
+ " [string \"DPI-C\"] [keyword function] [variable helloFromSV];",
241
+ ""
242
+ );
243
+
244
+ MT("export_task_two_lines_indents_properly",
245
+ "[keyword export]",
246
+ " [string \"DPI-C\"] [keyword task] [variable helloFromSV];",
247
+ ""
248
+ );
249
+
250
+ MT("import_function_one_line_does_not_indent",
251
+ "[keyword import] [string \"DPI-C\"] [keyword function] [variable helloFromC];",
252
+ ""
253
+ );
254
+
255
+ MT("import_task_one_line_does_not_indent",
256
+ "[keyword import] [string \"DPI-C\"] [keyword task] [variable helloFromC];",
257
+ ""
258
+ );
259
+
260
+ MT("import_package_single_line_does_not_indent",
261
+ "[keyword import] [variable p]::[variable x];",
262
+ "[keyword import] [variable p]::[variable y];",
263
+ ""
264
+ );
265
+
266
+ MT("covergoup_with_function_indents_properly",
267
+ "[keyword covergroup] [variable cg] [keyword with] [keyword function] [variable sample][bracket (][keyword bit] [variable b][bracket )];",
268
+ " [variable c] : [keyword coverpoint] [variable c];",
269
+ "[keyword endgroup]: [variable cg]",
270
+ ""
271
+ );
272
+
273
+ })();