HDLRuby 2.4.14 → 2.4.20

This diff represents the content of publicly available package versions that have been released to one of the supported registries. The information contained in this diff is provided for informational purposes only and reflects changes between package versions as they appear in their respective public registries.
checksums.yaml CHANGED
@@ -1,7 +1,7 @@
1
1
  ---
2
2
  SHA256:
3
- metadata.gz: 624b9738e43e9de0207b36e50f26dfc0885d260f004cec10d4bf27c5dc9a3c33
4
- data.tar.gz: 6599a6daacaf1699d39b14bcb51fe3c93367b57fb29e0dbac089d609b63673b5
3
+ metadata.gz: fd6292fe897c939784b2d10ae3e69e69eec7149be02fbc077b9ae789af840825
4
+ data.tar.gz: cd3ead86ae5b63976cab3d45db537f60a984ca65b0355dadaa755d1b6cbe0b89
5
5
  SHA512:
6
- metadata.gz: b134540ea1182bf20b0c38e771161b3882c3d27128c8343bf6a0cf487f1f0e631ff6491d6abf9df9e6e89a09e6005af6ceb95f24ce54e0043c2195872e875197
7
- data.tar.gz: edc4a191153cf71fe399b2756a50fb2b447c4571e0596b740de4c138d7bcf1314bc243614eb66e0a18d65e5642d27ab72bae0f60753bf223dfaea1a71935d211
6
+ metadata.gz: 7d33e055f706df8d06d6bd7578002a399c695f5a6705fce523a033a8d9954a74b44e1a337ac851a49a68a0c8d5a3fe42326a26b5d92003bb7d17dc90f5ed27a8
7
+ data.tar.gz: 8f49e18b9dbfd2ce0a5676e558c6054258809876f610ec3e35eda8ad4bf18ba9834aa11c6229ceb5e75b42649a353603da854a57b9f72432dc0b6459b1e35d61
@@ -0,0 +1,1277 @@
1
+ `timescale 1ps/1ps
2
+
3
+ module _____00003aT0( );
4
+ reg rst;
5
+ reg clk1;
6
+ reg clk2;
7
+ reg clk3;
8
+ reg [7:0] idata;
9
+ reg [7:0] odata;
10
+ wire [7:0] odata2;
11
+ reg [3:0] counter;
12
+ wire _00003a4;
13
+ reg _00003a5;
14
+ reg [7:0] _00003a6;
15
+ wire _00003a1;
16
+ wire [7:0] _00003a2;
17
+ reg _00003a3;
18
+ wire [7:0] my__ch_00003a0_00003a_00003adata;
19
+ wire my__ch_00003a0_00003a_00003areq;
20
+ wire my__ch_00003a0_00003a_00003aack;
21
+
22
+ assign _00003a4 = my__ch_00003a0_00003a_00003areq;
23
+
24
+ assign _00003a5 = my__ch_00003a0_00003a_00003aack;
25
+
26
+ assign _00003a6 = my__ch_00003a0_00003a_00003adata;
27
+
28
+ assign _00003a1 = my__ch_00003a0_00003a_00003aack;
29
+
30
+ assign _00003a2 = my__ch_00003a0_00003a_00003adata;
31
+
32
+ assign _00003a3 = my__ch_00003a0_00003a_00003areq;
33
+
34
+ always @( posedge clk3 ) begin
35
+
36
+ _00003a5 <= 32'd0;
37
+
38
+ if (rst) begin
39
+ idata <= 32'd0;
40
+ end
41
+ else begin
42
+ if (_00003a4) begin
43
+ if (~_00003a5) begin
44
+ _00003a6 <= idata;
45
+ idata <= (idata + 32'd1);
46
+ end
47
+ _00003a5 <= 32'd1;
48
+ end
49
+ end
50
+
51
+ end
52
+
53
+ always @( posedge clk2 ) begin
54
+
55
+ _00003a3 <= 32'd0;
56
+
57
+ if (rst) begin
58
+ counter <= 32'd0;
59
+ end
60
+ else begin
61
+ if ((_00003a1 == 32'd0)) begin
62
+ _00003a3 <= 32'd1;
63
+ end
64
+ else if (_00003a3) begin
65
+ odata <= _00003a2;
66
+ _00003a3 <= 32'd0;
67
+ counter <= (counter + 32'd1);
68
+ end
69
+ end
70
+
71
+ end
72
+
73
+ initial begin
74
+
75
+ clk1 = 32'd0;
76
+
77
+ clk2 = 32'd0;
78
+
79
+ clk3 = 32'd0;
80
+
81
+ rst = 32'd0;
82
+
83
+ #10000
84
+
85
+ clk1 = 32'd1;
86
+
87
+ #10000
88
+
89
+ clk1 = 32'd0;
90
+
91
+ rst = 32'd1;
92
+
93
+ #3000
94
+
95
+ clk2 = 32'd1;
96
+
97
+ #3000
98
+
99
+ clk3 = 32'd0;
100
+
101
+ #4000
102
+
103
+ clk1 = 32'd1;
104
+
105
+ #10000
106
+
107
+ clk1 = 32'd0;
108
+
109
+ #3000
110
+
111
+ clk2 = 32'd0;
112
+
113
+ #3000
114
+
115
+ clk3 = 32'd1;
116
+
117
+ #2000
118
+
119
+ rst = 32'd0;
120
+
121
+ #2000
122
+
123
+ clk1 = 32'd1;
124
+
125
+ #10000
126
+
127
+ clk1 = 32'd0;
128
+
129
+ #3000
130
+
131
+ clk2 = ~clk2;
132
+
133
+ #3000
134
+
135
+ if ((clk2 == 32'd0)) begin
136
+ clk3 = ~clk3;
137
+ end
138
+
139
+ #4000
140
+
141
+ clk1 = 32'd1;
142
+
143
+ #10000
144
+
145
+ clk1 = 32'd0;
146
+
147
+ #3000
148
+
149
+ clk2 = ~clk2;
150
+
151
+ #3000
152
+
153
+ if ((clk2 == 32'd0)) begin
154
+ clk3 = ~clk3;
155
+ end
156
+
157
+ #4000
158
+
159
+ clk1 = 32'd1;
160
+
161
+ #10000
162
+
163
+ clk1 = 32'd0;
164
+
165
+ #3000
166
+
167
+ clk2 = ~clk2;
168
+
169
+ #3000
170
+
171
+ if ((clk2 == 32'd0)) begin
172
+ clk3 = ~clk3;
173
+ end
174
+
175
+ #4000
176
+
177
+ clk1 = 32'd1;
178
+
179
+ #10000
180
+
181
+ clk1 = 32'd0;
182
+
183
+ #3000
184
+
185
+ clk2 = ~clk2;
186
+
187
+ #3000
188
+
189
+ if ((clk2 == 32'd0)) begin
190
+ clk3 = ~clk3;
191
+ end
192
+
193
+ #4000
194
+
195
+ clk1 = 32'd1;
196
+
197
+ #10000
198
+
199
+ clk1 = 32'd0;
200
+
201
+ #3000
202
+
203
+ clk2 = ~clk2;
204
+
205
+ #3000
206
+
207
+ if ((clk2 == 32'd0)) begin
208
+ clk3 = ~clk3;
209
+ end
210
+
211
+ #4000
212
+
213
+ clk1 = 32'd1;
214
+
215
+ #10000
216
+
217
+ clk1 = 32'd0;
218
+
219
+ #3000
220
+
221
+ clk2 = ~clk2;
222
+
223
+ #3000
224
+
225
+ if ((clk2 == 32'd0)) begin
226
+ clk3 = ~clk3;
227
+ end
228
+
229
+ #4000
230
+
231
+ clk1 = 32'd1;
232
+
233
+ #10000
234
+
235
+ clk1 = 32'd0;
236
+
237
+ #3000
238
+
239
+ clk2 = ~clk2;
240
+
241
+ #3000
242
+
243
+ if ((clk2 == 32'd0)) begin
244
+ clk3 = ~clk3;
245
+ end
246
+
247
+ #4000
248
+
249
+ clk1 = 32'd1;
250
+
251
+ #10000
252
+
253
+ clk1 = 32'd0;
254
+
255
+ #3000
256
+
257
+ clk2 = ~clk2;
258
+
259
+ #3000
260
+
261
+ if ((clk2 == 32'd0)) begin
262
+ clk3 = ~clk3;
263
+ end
264
+
265
+ #4000
266
+
267
+ clk1 = 32'd1;
268
+
269
+ #10000
270
+
271
+ clk1 = 32'd0;
272
+
273
+ #3000
274
+
275
+ clk2 = ~clk2;
276
+
277
+ #3000
278
+
279
+ if ((clk2 == 32'd0)) begin
280
+ clk3 = ~clk3;
281
+ end
282
+
283
+ #4000
284
+
285
+ clk1 = 32'd1;
286
+
287
+ #10000
288
+
289
+ clk1 = 32'd0;
290
+
291
+ #3000
292
+
293
+ clk2 = ~clk2;
294
+
295
+ #3000
296
+
297
+ if ((clk2 == 32'd0)) begin
298
+ clk3 = ~clk3;
299
+ end
300
+
301
+ #4000
302
+
303
+ clk1 = 32'd1;
304
+
305
+ #10000
306
+
307
+ clk1 = 32'd0;
308
+
309
+ #3000
310
+
311
+ clk2 = ~clk2;
312
+
313
+ #3000
314
+
315
+ if ((clk2 == 32'd0)) begin
316
+ clk3 = ~clk3;
317
+ end
318
+
319
+ #4000
320
+
321
+ clk1 = 32'd1;
322
+
323
+ #10000
324
+
325
+ clk1 = 32'd0;
326
+
327
+ #3000
328
+
329
+ clk2 = ~clk2;
330
+
331
+ #3000
332
+
333
+ if ((clk2 == 32'd0)) begin
334
+ clk3 = ~clk3;
335
+ end
336
+
337
+ #4000
338
+
339
+ clk1 = 32'd1;
340
+
341
+ #10000
342
+
343
+ clk1 = 32'd0;
344
+
345
+ #3000
346
+
347
+ clk2 = ~clk2;
348
+
349
+ #3000
350
+
351
+ if ((clk2 == 32'd0)) begin
352
+ clk3 = ~clk3;
353
+ end
354
+
355
+ #4000
356
+
357
+ clk1 = 32'd1;
358
+
359
+ #10000
360
+
361
+ clk1 = 32'd0;
362
+
363
+ #3000
364
+
365
+ clk2 = ~clk2;
366
+
367
+ #3000
368
+
369
+ if ((clk2 == 32'd0)) begin
370
+ clk3 = ~clk3;
371
+ end
372
+
373
+ #4000
374
+
375
+ clk1 = 32'd1;
376
+
377
+ #10000
378
+
379
+ clk1 = 32'd0;
380
+
381
+ #3000
382
+
383
+ clk2 = ~clk2;
384
+
385
+ #3000
386
+
387
+ if ((clk2 == 32'd0)) begin
388
+ clk3 = ~clk3;
389
+ end
390
+
391
+ #4000
392
+
393
+ clk1 = 32'd1;
394
+
395
+ #10000
396
+
397
+ clk1 = 32'd0;
398
+
399
+ #3000
400
+
401
+ clk2 = ~clk2;
402
+
403
+ #3000
404
+
405
+ if ((clk2 == 32'd0)) begin
406
+ clk3 = ~clk3;
407
+ end
408
+
409
+ #4000
410
+
411
+ clk1 = 32'd1;
412
+
413
+ #10000
414
+
415
+ clk1 = 32'd0;
416
+
417
+ #3000
418
+
419
+ clk2 = ~clk2;
420
+
421
+ #3000
422
+
423
+ if ((clk2 == 32'd0)) begin
424
+ clk3 = ~clk3;
425
+ end
426
+
427
+ #4000
428
+
429
+ clk1 = 32'd1;
430
+
431
+ #10000
432
+
433
+ clk1 = 32'd0;
434
+
435
+ #3000
436
+
437
+ clk2 = ~clk2;
438
+
439
+ #3000
440
+
441
+ if ((clk2 == 32'd0)) begin
442
+ clk3 = ~clk3;
443
+ end
444
+
445
+ #4000
446
+
447
+ clk1 = 32'd1;
448
+
449
+ #10000
450
+
451
+ clk1 = 32'd0;
452
+
453
+ #3000
454
+
455
+ clk2 = ~clk2;
456
+
457
+ #3000
458
+
459
+ if ((clk2 == 32'd0)) begin
460
+ clk3 = ~clk3;
461
+ end
462
+
463
+ #4000
464
+
465
+ clk1 = 32'd1;
466
+
467
+ #10000
468
+
469
+ clk1 = 32'd0;
470
+
471
+ #3000
472
+
473
+ clk2 = ~clk2;
474
+
475
+ #3000
476
+
477
+ if ((clk2 == 32'd0)) begin
478
+ clk3 = ~clk3;
479
+ end
480
+
481
+ #4000
482
+
483
+ clk1 = 32'd1;
484
+
485
+ #10000
486
+
487
+ clk1 = 32'd0;
488
+
489
+ #3000
490
+
491
+ clk2 = ~clk2;
492
+
493
+ #3000
494
+
495
+ if ((clk2 == 32'd0)) begin
496
+ clk3 = ~clk3;
497
+ end
498
+
499
+ #4000
500
+
501
+ clk1 = 32'd1;
502
+
503
+ #10000
504
+
505
+ clk1 = 32'd0;
506
+
507
+ #3000
508
+
509
+ clk2 = ~clk2;
510
+
511
+ #3000
512
+
513
+ if ((clk2 == 32'd0)) begin
514
+ clk3 = ~clk3;
515
+ end
516
+
517
+ #4000
518
+
519
+ clk1 = 32'd1;
520
+
521
+ #10000
522
+
523
+ clk1 = 32'd0;
524
+
525
+ #3000
526
+
527
+ clk2 = ~clk2;
528
+
529
+ #3000
530
+
531
+ if ((clk2 == 32'd0)) begin
532
+ clk3 = ~clk3;
533
+ end
534
+
535
+ #4000
536
+
537
+ clk1 = 32'd1;
538
+
539
+ #10000
540
+
541
+ clk1 = 32'd0;
542
+
543
+ #3000
544
+
545
+ clk2 = ~clk2;
546
+
547
+ #3000
548
+
549
+ if ((clk2 == 32'd0)) begin
550
+ clk3 = ~clk3;
551
+ end
552
+
553
+ #4000
554
+
555
+ clk1 = 32'd1;
556
+
557
+ #10000
558
+
559
+ clk1 = 32'd0;
560
+
561
+ #3000
562
+
563
+ clk2 = ~clk2;
564
+
565
+ #3000
566
+
567
+ if ((clk2 == 32'd0)) begin
568
+ clk3 = ~clk3;
569
+ end
570
+
571
+ #4000
572
+
573
+ clk1 = 32'd1;
574
+
575
+ #10000
576
+
577
+ clk1 = 32'd0;
578
+
579
+ #3000
580
+
581
+ clk2 = ~clk2;
582
+
583
+ #3000
584
+
585
+ if ((clk2 == 32'd0)) begin
586
+ clk3 = ~clk3;
587
+ end
588
+
589
+ #4000
590
+
591
+ clk1 = 32'd1;
592
+
593
+ #10000
594
+
595
+ clk1 = 32'd0;
596
+
597
+ #3000
598
+
599
+ clk2 = ~clk2;
600
+
601
+ #3000
602
+
603
+ if ((clk2 == 32'd0)) begin
604
+ clk3 = ~clk3;
605
+ end
606
+
607
+ #4000
608
+
609
+ clk1 = 32'd1;
610
+
611
+ #10000
612
+
613
+ clk1 = 32'd0;
614
+
615
+ #3000
616
+
617
+ clk2 = ~clk2;
618
+
619
+ #3000
620
+
621
+ if ((clk2 == 32'd0)) begin
622
+ clk3 = ~clk3;
623
+ end
624
+
625
+ #4000
626
+
627
+ clk1 = 32'd1;
628
+
629
+ #10000
630
+
631
+ clk1 = 32'd0;
632
+
633
+ #3000
634
+
635
+ clk2 = ~clk2;
636
+
637
+ #3000
638
+
639
+ if ((clk2 == 32'd0)) begin
640
+ clk3 = ~clk3;
641
+ end
642
+
643
+ #4000
644
+
645
+ clk1 = 32'd1;
646
+
647
+ #10000
648
+
649
+ clk1 = 32'd0;
650
+
651
+ #3000
652
+
653
+ clk2 = ~clk2;
654
+
655
+ #3000
656
+
657
+ if ((clk2 == 32'd0)) begin
658
+ clk3 = ~clk3;
659
+ end
660
+
661
+ #4000
662
+
663
+ clk1 = 32'd1;
664
+
665
+ #10000
666
+
667
+ clk1 = 32'd0;
668
+
669
+ #3000
670
+
671
+ clk2 = ~clk2;
672
+
673
+ #3000
674
+
675
+ if ((clk2 == 32'd0)) begin
676
+ clk3 = ~clk3;
677
+ end
678
+
679
+ #4000
680
+
681
+ clk1 = 32'd1;
682
+
683
+ #10000
684
+
685
+ clk1 = 32'd0;
686
+
687
+ #3000
688
+
689
+ clk2 = ~clk2;
690
+
691
+ #3000
692
+
693
+ if ((clk2 == 32'd0)) begin
694
+ clk3 = ~clk3;
695
+ end
696
+
697
+ #4000
698
+
699
+ clk1 = 32'd1;
700
+
701
+ #10000
702
+
703
+ clk1 = 32'd0;
704
+
705
+ #3000
706
+
707
+ clk2 = ~clk2;
708
+
709
+ #3000
710
+
711
+ if ((clk2 == 32'd0)) begin
712
+ clk3 = ~clk3;
713
+ end
714
+
715
+ #4000
716
+
717
+ clk1 = 32'd1;
718
+
719
+ #10000
720
+
721
+ clk1 = 32'd0;
722
+
723
+ #3000
724
+
725
+ clk2 = ~clk2;
726
+
727
+ #3000
728
+
729
+ if ((clk2 == 32'd0)) begin
730
+ clk3 = ~clk3;
731
+ end
732
+
733
+ #4000
734
+
735
+ clk1 = 32'd1;
736
+
737
+ #10000
738
+
739
+ clk1 = 32'd0;
740
+
741
+ #3000
742
+
743
+ clk2 = ~clk2;
744
+
745
+ #3000
746
+
747
+ if ((clk2 == 32'd0)) begin
748
+ clk3 = ~clk3;
749
+ end
750
+
751
+ #4000
752
+
753
+ clk1 = 32'd1;
754
+
755
+ #10000
756
+
757
+ clk1 = 32'd0;
758
+
759
+ #3000
760
+
761
+ clk2 = ~clk2;
762
+
763
+ #3000
764
+
765
+ if ((clk2 == 32'd0)) begin
766
+ clk3 = ~clk3;
767
+ end
768
+
769
+ #4000
770
+
771
+ clk1 = 32'd1;
772
+
773
+ #10000
774
+
775
+ clk1 = 32'd0;
776
+
777
+ #3000
778
+
779
+ clk2 = ~clk2;
780
+
781
+ #3000
782
+
783
+ if ((clk2 == 32'd0)) begin
784
+ clk3 = ~clk3;
785
+ end
786
+
787
+ #4000
788
+
789
+ clk1 = 32'd1;
790
+
791
+ #10000
792
+
793
+ clk1 = 32'd0;
794
+
795
+ #3000
796
+
797
+ clk2 = ~clk2;
798
+
799
+ #3000
800
+
801
+ if ((clk2 == 32'd0)) begin
802
+ clk3 = ~clk3;
803
+ end
804
+
805
+ #4000
806
+
807
+ clk1 = 32'd1;
808
+
809
+ #10000
810
+
811
+ clk1 = 32'd0;
812
+
813
+ #3000
814
+
815
+ clk2 = ~clk2;
816
+
817
+ #3000
818
+
819
+ if ((clk2 == 32'd0)) begin
820
+ clk3 = ~clk3;
821
+ end
822
+
823
+ #4000
824
+
825
+ clk1 = 32'd1;
826
+
827
+ #10000
828
+
829
+ clk1 = 32'd0;
830
+
831
+ #3000
832
+
833
+ clk2 = ~clk2;
834
+
835
+ #3000
836
+
837
+ if ((clk2 == 32'd0)) begin
838
+ clk3 = ~clk3;
839
+ end
840
+
841
+ #4000
842
+
843
+ clk1 = 32'd1;
844
+
845
+ #10000
846
+
847
+ clk1 = 32'd0;
848
+
849
+ #3000
850
+
851
+ clk2 = ~clk2;
852
+
853
+ #3000
854
+
855
+ if ((clk2 == 32'd0)) begin
856
+ clk3 = ~clk3;
857
+ end
858
+
859
+ #4000
860
+
861
+ clk1 = 32'd1;
862
+
863
+ #10000
864
+
865
+ clk1 = 32'd0;
866
+
867
+ #3000
868
+
869
+ clk2 = ~clk2;
870
+
871
+ #3000
872
+
873
+ if ((clk2 == 32'd0)) begin
874
+ clk3 = ~clk3;
875
+ end
876
+
877
+ #4000
878
+
879
+ clk1 = 32'd1;
880
+
881
+ #10000
882
+
883
+ clk1 = 32'd0;
884
+
885
+ #3000
886
+
887
+ clk2 = ~clk2;
888
+
889
+ #3000
890
+
891
+ if ((clk2 == 32'd0)) begin
892
+ clk3 = ~clk3;
893
+ end
894
+
895
+ #4000
896
+
897
+ clk1 = 32'd1;
898
+
899
+ #10000
900
+
901
+ clk1 = 32'd0;
902
+
903
+ #3000
904
+
905
+ clk2 = ~clk2;
906
+
907
+ #3000
908
+
909
+ if ((clk2 == 32'd0)) begin
910
+ clk3 = ~clk3;
911
+ end
912
+
913
+ #4000
914
+
915
+ clk1 = 32'd1;
916
+
917
+ #10000
918
+
919
+ clk1 = 32'd0;
920
+
921
+ #3000
922
+
923
+ clk2 = ~clk2;
924
+
925
+ #3000
926
+
927
+ if ((clk2 == 32'd0)) begin
928
+ clk3 = ~clk3;
929
+ end
930
+
931
+ #4000
932
+
933
+ clk1 = 32'd1;
934
+
935
+ #10000
936
+
937
+ clk1 = 32'd0;
938
+
939
+ #3000
940
+
941
+ clk2 = ~clk2;
942
+
943
+ #3000
944
+
945
+ if ((clk2 == 32'd0)) begin
946
+ clk3 = ~clk3;
947
+ end
948
+
949
+ #4000
950
+
951
+ clk1 = 32'd1;
952
+
953
+ #10000
954
+
955
+ clk1 = 32'd0;
956
+
957
+ #3000
958
+
959
+ clk2 = ~clk2;
960
+
961
+ #3000
962
+
963
+ if ((clk2 == 32'd0)) begin
964
+ clk3 = ~clk3;
965
+ end
966
+
967
+ #4000
968
+
969
+ clk1 = 32'd1;
970
+
971
+ #10000
972
+
973
+ clk1 = 32'd0;
974
+
975
+ #3000
976
+
977
+ clk2 = ~clk2;
978
+
979
+ #3000
980
+
981
+ if ((clk2 == 32'd0)) begin
982
+ clk3 = ~clk3;
983
+ end
984
+
985
+ #4000
986
+
987
+ clk1 = 32'd1;
988
+
989
+ #10000
990
+
991
+ clk1 = 32'd0;
992
+
993
+ #3000
994
+
995
+ clk2 = ~clk2;
996
+
997
+ #3000
998
+
999
+ if ((clk2 == 32'd0)) begin
1000
+ clk3 = ~clk3;
1001
+ end
1002
+
1003
+ #4000
1004
+
1005
+ clk1 = 32'd1;
1006
+
1007
+ #10000
1008
+
1009
+ clk1 = 32'd0;
1010
+
1011
+ #3000
1012
+
1013
+ clk2 = ~clk2;
1014
+
1015
+ #3000
1016
+
1017
+ if ((clk2 == 32'd0)) begin
1018
+ clk3 = ~clk3;
1019
+ end
1020
+
1021
+ #4000
1022
+
1023
+ clk1 = 32'd1;
1024
+
1025
+ #10000
1026
+
1027
+ clk1 = 32'd0;
1028
+
1029
+ #3000
1030
+
1031
+ clk2 = ~clk2;
1032
+
1033
+ #3000
1034
+
1035
+ if ((clk2 == 32'd0)) begin
1036
+ clk3 = ~clk3;
1037
+ end
1038
+
1039
+ #4000
1040
+
1041
+ clk1 = 32'd1;
1042
+
1043
+ #10000
1044
+
1045
+ clk1 = 32'd0;
1046
+
1047
+ #3000
1048
+
1049
+ clk2 = ~clk2;
1050
+
1051
+ #3000
1052
+
1053
+ if ((clk2 == 32'd0)) begin
1054
+ clk3 = ~clk3;
1055
+ end
1056
+
1057
+ #4000
1058
+
1059
+ clk1 = 32'd1;
1060
+
1061
+ #10000
1062
+
1063
+ clk1 = 32'd0;
1064
+
1065
+ #3000
1066
+
1067
+ clk2 = ~clk2;
1068
+
1069
+ #3000
1070
+
1071
+ if ((clk2 == 32'd0)) begin
1072
+ clk3 = ~clk3;
1073
+ end
1074
+
1075
+ #4000
1076
+
1077
+ clk1 = 32'd1;
1078
+
1079
+ #10000
1080
+
1081
+ clk1 = 32'd0;
1082
+
1083
+ #3000
1084
+
1085
+ clk2 = ~clk2;
1086
+
1087
+ #3000
1088
+
1089
+ if ((clk2 == 32'd0)) begin
1090
+ clk3 = ~clk3;
1091
+ end
1092
+
1093
+ #4000
1094
+
1095
+ clk1 = 32'd1;
1096
+
1097
+ #10000
1098
+
1099
+ clk1 = 32'd0;
1100
+
1101
+ #3000
1102
+
1103
+ clk2 = ~clk2;
1104
+
1105
+ #3000
1106
+
1107
+ if ((clk2 == 32'd0)) begin
1108
+ clk3 = ~clk3;
1109
+ end
1110
+
1111
+ #4000
1112
+
1113
+ clk1 = 32'd1;
1114
+
1115
+ #10000
1116
+
1117
+ clk1 = 32'd0;
1118
+
1119
+ #3000
1120
+
1121
+ clk2 = ~clk2;
1122
+
1123
+ #3000
1124
+
1125
+ if ((clk2 == 32'd0)) begin
1126
+ clk3 = ~clk3;
1127
+ end
1128
+
1129
+ #4000
1130
+
1131
+ clk1 = 32'd1;
1132
+
1133
+ #10000
1134
+
1135
+ clk1 = 32'd0;
1136
+
1137
+ #3000
1138
+
1139
+ clk2 = ~clk2;
1140
+
1141
+ #3000
1142
+
1143
+ if ((clk2 == 32'd0)) begin
1144
+ clk3 = ~clk3;
1145
+ end
1146
+
1147
+ #4000
1148
+
1149
+ clk1 = 32'd1;
1150
+
1151
+ #10000
1152
+
1153
+ clk1 = 32'd0;
1154
+
1155
+ #3000
1156
+
1157
+ clk2 = ~clk2;
1158
+
1159
+ #3000
1160
+
1161
+ if ((clk2 == 32'd0)) begin
1162
+ clk3 = ~clk3;
1163
+ end
1164
+
1165
+ #4000
1166
+
1167
+ clk1 = 32'd1;
1168
+
1169
+ #10000
1170
+
1171
+ clk1 = 32'd0;
1172
+
1173
+ #3000
1174
+
1175
+ clk2 = ~clk2;
1176
+
1177
+ #3000
1178
+
1179
+ if ((clk2 == 32'd0)) begin
1180
+ clk3 = ~clk3;
1181
+ end
1182
+
1183
+ #4000
1184
+
1185
+ clk1 = 32'd1;
1186
+
1187
+ #10000
1188
+
1189
+ clk1 = 32'd0;
1190
+
1191
+ #3000
1192
+
1193
+ clk2 = ~clk2;
1194
+
1195
+ #3000
1196
+
1197
+ if ((clk2 == 32'd0)) begin
1198
+ clk3 = ~clk3;
1199
+ end
1200
+
1201
+ #4000
1202
+
1203
+ clk1 = 32'd1;
1204
+
1205
+ #10000
1206
+
1207
+ clk1 = 32'd0;
1208
+
1209
+ #3000
1210
+
1211
+ clk2 = ~clk2;
1212
+
1213
+ #3000
1214
+
1215
+ if ((clk2 == 32'd0)) begin
1216
+ clk3 = ~clk3;
1217
+ end
1218
+
1219
+ #4000
1220
+
1221
+ clk1 = 32'd1;
1222
+
1223
+ #10000
1224
+
1225
+ clk1 = 32'd0;
1226
+
1227
+ #3000
1228
+
1229
+ clk2 = ~clk2;
1230
+
1231
+ #3000
1232
+
1233
+ if ((clk2 == 32'd0)) begin
1234
+ clk3 = ~clk3;
1235
+ end
1236
+
1237
+ #4000
1238
+
1239
+ clk1 = 32'd1;
1240
+
1241
+ #10000
1242
+
1243
+ clk1 = 32'd0;
1244
+
1245
+ #3000
1246
+
1247
+ clk2 = ~clk2;
1248
+
1249
+ #3000
1250
+
1251
+ if ((clk2 == 32'd0)) begin
1252
+ clk3 = ~clk3;
1253
+ end
1254
+
1255
+ #4000
1256
+
1257
+ clk1 = 32'd1;
1258
+
1259
+ #10000
1260
+
1261
+ clk1 = 32'd0;
1262
+
1263
+ #3000
1264
+
1265
+ clk2 = ~clk2;
1266
+
1267
+ #3000
1268
+
1269
+ if ((clk2 == 32'd0)) begin
1270
+ clk3 = ~clk3;
1271
+ end
1272
+
1273
+ #4000
1274
+
1275
+ end
1276
+
1277
+ endmodule