esiaccel 0.1.5.dev226__cp311-cp311-win_amd64.whl → 0.1.5.dev230__cp311-cp311-win_amd64.whl
This diff represents the content of publicly available package versions that have been released to one of the supported registries. The information contained in this diff is provided for informational purposes only and reflects changes between package versions as they appear in their respective public registries.
Potentially problematic release.
This version of esiaccel might be problematic. Click here for more details.
- esiaccel/CosimBackend.dll +0 -0
- esiaccel/ESICppRuntime.dll +0 -0
- esiaccel/EsiCosimDpiServer.dll +0 -0
- esiaccel/MtiPli.dll +0 -0
- esiaccel/abseil_dll.dll +0 -0
- esiaccel/cares.dll +0 -0
- esiaccel/cosim/Cosim_Endpoint.sv +0 -18
- esiaccel/cosim/driver.sv +14 -0
- esiaccel/cosim/simulator.py +15 -5
- esiaccel/esiCppAccel.cp311-win_amd64.pyd +0 -0
- esiaccel/esiquery.exe +0 -0
- esiaccel/libcrypto-3-x64.dll +0 -0
- esiaccel/libprotobuf.dll +0 -0
- esiaccel/libssl-3-x64.dll +0 -0
- esiaccel/re2.dll +0 -0
- esiaccel/zlib1.dll +0 -0
- {esiaccel-0.1.5.dev226.dist-info → esiaccel-0.1.5.dev230.dist-info}/METADATA +1 -1
- {esiaccel-0.1.5.dev226.dist-info → esiaccel-0.1.5.dev230.dist-info}/RECORD +22 -22
- {esiaccel-0.1.5.dev226.dist-info → esiaccel-0.1.5.dev230.dist-info}/WHEEL +0 -0
- {esiaccel-0.1.5.dev226.dist-info → esiaccel-0.1.5.dev230.dist-info}/entry_points.txt +0 -0
- {esiaccel-0.1.5.dev226.dist-info → esiaccel-0.1.5.dev230.dist-info}/licenses/LICENSE +0 -0
- {esiaccel-0.1.5.dev226.dist-info → esiaccel-0.1.5.dev230.dist-info}/top_level.txt +0 -0
esiaccel/CosimBackend.dll
CHANGED
|
Binary file
|
esiaccel/ESICppRuntime.dll
CHANGED
|
Binary file
|
esiaccel/EsiCosimDpiServer.dll
CHANGED
|
Binary file
|
esiaccel/MtiPli.dll
CHANGED
|
Binary file
|
esiaccel/abseil_dll.dll
CHANGED
|
Binary file
|
esiaccel/cares.dll
CHANGED
|
Binary file
|
esiaccel/cosim/Cosim_Endpoint.sv
CHANGED
|
@@ -82,15 +82,6 @@ module Cosim_Endpoint_ToHost
|
|
|
82
82
|
TO_HOST_SIZE_BYTES_FLOOR_IN_BITS];
|
|
83
83
|
endgenerate
|
|
84
84
|
|
|
85
|
-
initial begin
|
|
86
|
-
$display("TO_HOST_SIZE_BITS: %d", TO_HOST_SIZE_BITS);
|
|
87
|
-
$display("TO_HOST_SIZE_BYTES: %d", TO_HOST_SIZE_BYTES);
|
|
88
|
-
$display("TO_HOST_SIZE_BITS_DIFF: %d", TO_HOST_SIZE_BITS_DIFF);
|
|
89
|
-
$display("TO_HOST_SIZE_BYTES_FLOOR: %d", TO_HOST_SIZE_BYTES_FLOOR);
|
|
90
|
-
$display("TO_HOST_SIZE_BYTES_FLOOR_IN_BITS: %d",
|
|
91
|
-
TO_HOST_SIZE_BYTES_FLOOR_IN_BITS);
|
|
92
|
-
end
|
|
93
|
-
|
|
94
85
|
endmodule
|
|
95
86
|
|
|
96
87
|
module Cosim_Endpoint_FromHost
|
|
@@ -224,13 +215,4 @@ module Cosim_Endpoint_FromHost
|
|
|
224
215
|
assign DataOutValid = DataOut_x_valid;
|
|
225
216
|
assign DataOut = DataOut_x;
|
|
226
217
|
|
|
227
|
-
initial begin
|
|
228
|
-
$display("FROM_HOST_SIZE_BITS: %d", FROM_HOST_SIZE_BITS);
|
|
229
|
-
$display("FROM_HOST_SIZE_BYTES: %d", FROM_HOST_SIZE_BYTES);
|
|
230
|
-
$display("FROM_HOST_SIZE_BITS_DIFF: %d", FROM_HOST_SIZE_BITS_DIFF);
|
|
231
|
-
$display("FROM_HOST_SIZE_BYTES_FLOOR: %d", FROM_HOST_SIZE_BYTES_FLOOR);
|
|
232
|
-
$display("FROM_HOST_SIZE_BYTES_FLOOR_IN_BITS: %d",
|
|
233
|
-
FROM_HOST_SIZE_BYTES_FLOOR_IN_BITS);
|
|
234
|
-
end
|
|
235
|
-
|
|
236
218
|
endmodule
|
esiaccel/cosim/driver.sv
CHANGED
|
@@ -18,8 +18,22 @@
|
|
|
18
18
|
`define TOP_MODULE ESI_Cosim_Top
|
|
19
19
|
`endif
|
|
20
20
|
|
|
21
|
+
// Allow reading environment variables to control waveform dumping.
|
|
22
|
+
import "DPI-C" function string getenv(input string env_name);
|
|
23
|
+
|
|
21
24
|
module driver();
|
|
22
25
|
|
|
26
|
+
// If the SAVE_WAVE environment variable is set, dump a VCD waveform to that
|
|
27
|
+
// filename.
|
|
28
|
+
initial begin
|
|
29
|
+
string save_wave = getenv("SAVE_WAVE");
|
|
30
|
+
if (save_wave != "") begin
|
|
31
|
+
$display("[driver] Saving waveform to %s", save_wave);
|
|
32
|
+
$dumpfile(save_wave);
|
|
33
|
+
$dumpvars(0, driver);
|
|
34
|
+
end
|
|
35
|
+
end
|
|
36
|
+
|
|
23
37
|
logic clk = 0;
|
|
24
38
|
logic rst = 0;
|
|
25
39
|
|
esiaccel/cosim/simulator.py
CHANGED
|
@@ -81,10 +81,12 @@ class SimProcess:
|
|
|
81
81
|
def __init__(self,
|
|
82
82
|
proc: subprocess.Popen,
|
|
83
83
|
port: int,
|
|
84
|
-
threads: Optional[List[threading.Thread]] = None
|
|
84
|
+
threads: Optional[List[threading.Thread]] = None,
|
|
85
|
+
gui: bool = False):
|
|
85
86
|
self.proc = proc
|
|
86
87
|
self.port = port
|
|
87
88
|
self.threads: List[threading.Thread] = threads or []
|
|
89
|
+
self.gui = gui
|
|
88
90
|
|
|
89
91
|
def force_stop(self):
|
|
90
92
|
"""Make sure to stop the simulation no matter what."""
|
|
@@ -231,6 +233,10 @@ class Simulator:
|
|
|
231
233
|
"""
|
|
232
234
|
self.run_dir.mkdir(parents=True, exist_ok=True)
|
|
233
235
|
|
|
236
|
+
env_gui = os.environ.get("COSIM_GUI", "0")
|
|
237
|
+
if env_gui != "0":
|
|
238
|
+
gui = True
|
|
239
|
+
|
|
234
240
|
# Erase the config file if it exists. We don't want to read
|
|
235
241
|
# an old config.
|
|
236
242
|
portFileName = self.run_dir / "cosim.cfg"
|
|
@@ -280,7 +286,7 @@ class Simulator:
|
|
|
280
286
|
if proc.poll() is not None:
|
|
281
287
|
raise Exception("Simulation exited early")
|
|
282
288
|
time.sleep(0.05)
|
|
283
|
-
return SimProcess(proc=proc, port=port, threads=threads)
|
|
289
|
+
return SimProcess(proc=proc, port=port, threads=threads, gui=gui)
|
|
284
290
|
|
|
285
291
|
def _start_process_with_callbacks(
|
|
286
292
|
self, cmd: List[str], env: Optional[Dict[str, str]], cwd: Optional[Path],
|
|
@@ -361,8 +367,12 @@ class Simulator:
|
|
|
361
367
|
testEnv = os.environ.copy()
|
|
362
368
|
testEnv["ESI_COSIM_PORT"] = str(simProc.port)
|
|
363
369
|
testEnv["ESI_COSIM_HOST"] = "localhost"
|
|
364
|
-
|
|
365
|
-
|
|
370
|
+
ret = subprocess.run(inner_command, cwd=os.getcwd(),
|
|
371
|
+
env=testEnv).returncode
|
|
372
|
+
if simProc.gui:
|
|
373
|
+
print("GUI mode - waiting for simulator to exit...")
|
|
374
|
+
simProc.proc.wait()
|
|
375
|
+
return ret
|
|
366
376
|
finally:
|
|
367
|
-
if simProc:
|
|
377
|
+
if simProc and simProc.proc.poll() is None:
|
|
368
378
|
simProc.force_stop()
|
|
Binary file
|
esiaccel/esiquery.exe
CHANGED
|
Binary file
|
esiaccel/libcrypto-3-x64.dll
CHANGED
|
Binary file
|
esiaccel/libprotobuf.dll
CHANGED
|
Binary file
|
esiaccel/libssl-3-x64.dll
CHANGED
|
Binary file
|
esiaccel/re2.dll
CHANGED
|
Binary file
|
esiaccel/zlib1.dll
CHANGED
|
Binary file
|
|
@@ -1,34 +1,34 @@
|
|
|
1
|
-
esiaccel/CosimBackend.dll,sha256=
|
|
1
|
+
esiaccel/CosimBackend.dll,sha256=edOFIpSk-mfNTpEYF8IBAcRNMB_xPNeSlgxvSjWChK4,7152128
|
|
2
2
|
esiaccel/CosimBackend.lib,sha256=5tnhX-BFxzlslXNsblCWXjY583sDW4lFLGNch7hzWZw,4992924
|
|
3
|
-
esiaccel/ESICppRuntime.dll,sha256=
|
|
3
|
+
esiaccel/ESICppRuntime.dll,sha256=v1aQi8swOJc9tAwl_9RPdV1tWHKoK1-hgTaChHu7iHA,4078592
|
|
4
4
|
esiaccel/ESICppRuntime.lib,sha256=tltAogZ3c0ryYHirOXz_qltr5aNdoquZ-Q4yVqim3FY,15154004
|
|
5
|
-
esiaccel/EsiCosimDpiServer.dll,sha256=
|
|
5
|
+
esiaccel/EsiCosimDpiServer.dll,sha256=NlxXpBI52hmxBcI0q2PAqVmdNs0SvIt4Qd6qOdCL1S4,159744
|
|
6
6
|
esiaccel/EsiCosimDpiServer.lib,sha256=zn3bIX10e2HQtwZOi1xpqeNfy4AdZm8Jx634HVTVQ8w,604164
|
|
7
|
-
esiaccel/MtiPli.dll,sha256=
|
|
7
|
+
esiaccel/MtiPli.dll,sha256=2JZR50gyqDb3EQ_YuWIsmv5karaBC0z4VMTlo2Lzs_I,14848
|
|
8
8
|
esiaccel/MtiPli.lib,sha256=juJ2Asmv6cnozNPpXvGeKkieYFcXK8MOYmDQZz-dAy4,14570
|
|
9
9
|
esiaccel/__init__.py,sha256=65xXWHwJwRePsyhWk837NpzuN0qsNhoAX29TOiSYKGc,905
|
|
10
|
-
esiaccel/abseil_dll.dll,sha256=
|
|
10
|
+
esiaccel/abseil_dll.dll,sha256=u4RKZCDu0rlgUEx66zloigQ7RQTc9cL6GjChSuwN5BU,1928192
|
|
11
11
|
esiaccel/accelerator.py,sha256=BcXPsUqcQV3YsVVyYbz9P6JnZLlcnuageFbJwID9_3s,3318
|
|
12
|
-
esiaccel/cares.dll,sha256
|
|
12
|
+
esiaccel/cares.dll,sha256=NcRsBf60wyrCLd2jZDhcVf_TR-OljATV4YdxKtGKgvw,199168
|
|
13
13
|
esiaccel/codegen.py,sha256=uoYELtnIabVvgLeCABj-mWras0BvmSKABPH-cd9nDFk,6560
|
|
14
14
|
esiaccel/esi-cosim.py,sha256=P7n3SBgKPnXynwghY5zK1FmpqZkbC_YxfVIvNNQNl6Q,3817
|
|
15
|
-
esiaccel/esiCppAccel.cp311-win_amd64.pyd,sha256=
|
|
16
|
-
esiaccel/esiquery.exe,sha256=
|
|
17
|
-
esiaccel/libcrypto-3-x64.dll,sha256=
|
|
18
|
-
esiaccel/libprotobuf.dll,sha256=
|
|
19
|
-
esiaccel/libssl-3-x64.dll,sha256=
|
|
20
|
-
esiaccel/re2.dll,sha256=
|
|
15
|
+
esiaccel/esiCppAccel.cp311-win_amd64.pyd,sha256=g8k-adBC027rbhxqnIpXiRUH3g7CGfrqtMABrSktFXs,502272
|
|
16
|
+
esiaccel/esiquery.exe,sha256=xbYSjvH1iudZFBHZ_CJ7rFDS9lCmOq_jEaGxYLXlsiw,441856
|
|
17
|
+
esiaccel/libcrypto-3-x64.dll,sha256=8NiQLFiXuWuoMve01BBaLSLA1G2jNwU4CKVfhf9Fz7o,5266944
|
|
18
|
+
esiaccel/libprotobuf.dll,sha256=k5oY7RhoTze07MHhbZrEWGRSlAcvLMk7ObYJmw-XIfE,13026816
|
|
19
|
+
esiaccel/libssl-3-x64.dll,sha256=u4kgTAMbTGqUtiowwzvVQDT_-lqZbrFNdAdUfQ9oi6Y,867328
|
|
20
|
+
esiaccel/re2.dll,sha256=vw6jqtVNMGELS50eEDkmwHI6ZvsAvDn1FA9BlZzot4s,1225216
|
|
21
21
|
esiaccel/types.py,sha256=LFLzUCvtYF6FLsmKet6eJTMq2ija2Z5kxd5Ks6tkS4U,19044
|
|
22
22
|
esiaccel/utils.py,sha256=q-8fmgJ9tUvmBsIvqZiZ7u845IJhOjvjYTQLhhrNYl0,1515
|
|
23
|
-
esiaccel/zlib1.dll,sha256=
|
|
23
|
+
esiaccel/zlib1.dll,sha256=lqdMkNP9ve57M1eYMVdk_N6cDOgzoEegfdBFUePmcFI,90112
|
|
24
24
|
esiaccel/cmake/esiaccelConfig.cmake,sha256=u2aW99k1lEcmYTG1P3BTJqtmDrj53wUUaBz_jzw8kYY,565
|
|
25
25
|
esiaccel/cosim/Cosim_DpiPkg.sv,sha256=9qGn1VyAVrzBP5At1thV6xrovg0WghICD01Zz9J221E,3458
|
|
26
|
-
esiaccel/cosim/Cosim_Endpoint.sv,sha256
|
|
26
|
+
esiaccel/cosim/Cosim_Endpoint.sv,sha256=-XXrGvvk6hdiZ-Ex6_QtdKXXUwKJLKSvpTUK3o0gPZ8,7589
|
|
27
27
|
esiaccel/cosim/Cosim_Manifest.sv,sha256=vl9b6XieEkP880IBw1ferekBnDJwFanZZggJJGertXM,1123
|
|
28
28
|
esiaccel/cosim/driver.cpp,sha256=Lvmo03pzzhoswdxAtdXAm-oU6UkfTyl1LgoCpyDzLhY,3842
|
|
29
|
-
esiaccel/cosim/driver.sv,sha256=
|
|
29
|
+
esiaccel/cosim/driver.sv,sha256=LAkFEXTwX3KKwZLSzYZFwMPWxZwVStuhUsfecHHpGzU,1890
|
|
30
30
|
esiaccel/cosim/questa.py,sha256=yGsS-k49rQunj21p63Utnq3LLG1CYYp3aH5ctWd_xSY,2392
|
|
31
|
-
esiaccel/cosim/simulator.py,sha256=
|
|
31
|
+
esiaccel/cosim/simulator.py,sha256=6ppyVahxw3Ghr40zghr4-vAjRuj8QQNbKotQ-x3GFvw,13715
|
|
32
32
|
esiaccel/cosim/verilator.py,sha256=WPZT14EkjtF1214P2noZyQC58GR7021xWaQ5oQwVcIg,2696
|
|
33
33
|
esiaccel/include/esi/Accelerator.h,sha256=RhkZ2HeMZ0iHc5BkHdDWXoeg9J9lyPQciH5bWq5Qc_w,9772
|
|
34
34
|
esiaccel/include/esi/CLI.h,sha256=Nn8tHn_xtEfkrD7USE2tao6ktYOJ6xcbnhZkS9-ox0A,2540
|
|
@@ -45,9 +45,9 @@ esiaccel/include/esi/Utils.h,sha256=KPd75GajIFeTBVJocXBjwsJqhbZg-ShWZCIe3oQdBss,
|
|
|
45
45
|
esiaccel/include/esi/backends/Cosim.h,sha256=s7vYd0ra6m1nvk-n37MjvBoGVI-CCUKBt0DU4PKlaHM,2838
|
|
46
46
|
esiaccel/include/esi/backends/RpcServer.h,sha256=WMwnhwU2qnrcglGNeiKg9QQHpkDx1QE1JydKYDK4jqE,1856
|
|
47
47
|
esiaccel/include/esi/backends/Trace.h,sha256=kx4wwLH3a0ndmRUdaDyYGZ1SP83zlpFrk30Nw8ZrJJA,3286
|
|
48
|
-
esiaccel-0.1.5.
|
|
49
|
-
esiaccel-0.1.5.
|
|
50
|
-
esiaccel-0.1.5.
|
|
51
|
-
esiaccel-0.1.5.
|
|
52
|
-
esiaccel-0.1.5.
|
|
53
|
-
esiaccel-0.1.5.
|
|
48
|
+
esiaccel-0.1.5.dev230.dist-info/licenses/LICENSE,sha256=vtnVnB8_lN1yPYcA5MeT56R8UsQtBhyzZLBvu_KMf7I,13468
|
|
49
|
+
esiaccel-0.1.5.dev230.dist-info/METADATA,sha256=6hWQK1fnRB_dRQkdwPzopd7BJfXtIUYwexZr6rFyt10,16148
|
|
50
|
+
esiaccel-0.1.5.dev230.dist-info/WHEEL,sha256=JLOMsP7F5qtkAkINx5UnzbFguf8CqZeraV8o04b0I8I,101
|
|
51
|
+
esiaccel-0.1.5.dev230.dist-info/entry_points.txt,sha256=_CuNLV0fyTURxRREFwpzGycifZW_-7-MyuJNEwKK9J8,137
|
|
52
|
+
esiaccel-0.1.5.dev230.dist-info/top_level.txt,sha256=fYWTWMDK4PDu4ePQ9NtcFHas2k8-d1kWhTs2avPpgB4,9
|
|
53
|
+
esiaccel-0.1.5.dev230.dist-info/RECORD,,
|
|
File without changes
|
|
File without changes
|
|
File without changes
|
|
File without changes
|