cocotb 1.9.2__cp312-cp312-win32.whl → 2.0.0__cp312-cp312-win32.whl
This diff represents the content of publicly available package versions that have been released to one of the supported registries. The information contained in this diff is provided for informational purposes only and reflects changes between package versions as they appear in their respective public registries.
Potentially problematic release.
This version of cocotb might be problematic. Click here for more details.
- cocotb/_ANSI.py +65 -0
- cocotb/__init__.py +81 -327
- cocotb/_base_triggers.py +515 -0
- cocotb/_bridge.py +186 -0
- cocotb/_decorators.py +515 -0
- cocotb/_deprecation.py +3 -3
- cocotb/_exceptions.py +7 -0
- cocotb/_extended_awaitables.py +419 -0
- cocotb/_gpi_triggers.py +385 -0
- cocotb/_init.py +301 -0
- cocotb/_outcomes.py +54 -0
- cocotb/_profiling.py +46 -0
- cocotb/_py_compat.py +114 -29
- cocotb/_scheduler.py +448 -0
- cocotb/_test.py +248 -0
- cocotb/_test_factory.py +312 -0
- cocotb/_test_functions.py +42 -0
- cocotb/_typing.py +7 -0
- cocotb/_utils.py +274 -0
- cocotb/_version.py +3 -7
- cocotb/_xunit_reporter.py +66 -0
- cocotb/clock.py +353 -108
- cocotb/debug.py +24 -0
- cocotb/handle.py +1370 -793
- cocotb/libs/cocotb.dll +0 -0
- cocotb/libs/cocotb.exp +0 -0
- cocotb/libs/cocotb.lib +0 -0
- cocotb/libs/cocotbfli_modelsim.dll +0 -0
- cocotb/libs/cocotbfli_modelsim.exp +0 -0
- cocotb/libs/cocotbfli_modelsim.lib +0 -0
- cocotb/libs/cocotbutils.dll +0 -0
- cocotb/libs/cocotbutils.exp +0 -0
- cocotb/libs/cocotbutils.lib +0 -0
- cocotb/libs/cocotbvhpi_aldec.dll +0 -0
- cocotb/libs/cocotbvhpi_aldec.exp +0 -0
- cocotb/libs/cocotbvhpi_aldec.lib +0 -0
- cocotb/libs/cocotbvhpi_modelsim.dll +0 -0
- cocotb/libs/cocotbvhpi_modelsim.exp +0 -0
- cocotb/libs/cocotbvhpi_modelsim.lib +0 -0
- cocotb/libs/cocotbvpi_aldec.dll +0 -0
- cocotb/libs/cocotbvpi_aldec.exp +0 -0
- cocotb/libs/cocotbvpi_aldec.lib +0 -0
- cocotb/libs/cocotbvpi_ghdl.dll +0 -0
- cocotb/libs/cocotbvpi_ghdl.exp +0 -0
- cocotb/libs/cocotbvpi_ghdl.lib +0 -0
- cocotb/libs/cocotbvpi_icarus.exp +0 -0
- cocotb/libs/cocotbvpi_icarus.lib +0 -0
- cocotb/libs/cocotbvpi_icarus.vpl +0 -0
- cocotb/libs/cocotbvpi_modelsim.dll +0 -0
- cocotb/libs/cocotbvpi_modelsim.exp +0 -0
- cocotb/libs/cocotbvpi_modelsim.lib +0 -0
- cocotb/libs/embed.dll +0 -0
- cocotb/libs/embed.exp +0 -0
- cocotb/libs/embed.lib +0 -0
- cocotb/libs/gpi.dll +0 -0
- cocotb/libs/gpi.exp +0 -0
- cocotb/libs/gpi.lib +0 -0
- cocotb/libs/gpilog.dll +0 -0
- cocotb/libs/gpilog.exp +0 -0
- cocotb/libs/gpilog.lib +0 -0
- cocotb/libs/pygpilog.dll +0 -0
- cocotb/libs/pygpilog.exp +0 -0
- cocotb/libs/pygpilog.lib +0 -0
- cocotb/logging.py +424 -0
- cocotb/queue.py +103 -57
- cocotb/regression.py +680 -717
- cocotb/result.py +17 -188
- cocotb/share/def/aldec.exp +0 -0
- cocotb/share/def/aldec.lib +0 -0
- cocotb/share/def/ghdl.exp +0 -0
- cocotb/share/def/ghdl.lib +0 -0
- cocotb/share/def/icarus.exp +0 -0
- cocotb/share/def/icarus.lib +0 -0
- cocotb/share/def/modelsim.def +1 -0
- cocotb/share/def/modelsim.exp +0 -0
- cocotb/share/def/modelsim.lib +0 -0
- cocotb/share/include/cocotb_utils.h +9 -32
- cocotb/share/include/embed.h +7 -30
- cocotb/share/include/gpi.h +331 -137
- cocotb/share/include/gpi_logging.h +221 -142
- cocotb/share/include/py_gpi_logging.h +8 -5
- cocotb/share/include/vpi_user_ext.h +4 -26
- cocotb/share/lib/verilator/verilator.cpp +80 -67
- cocotb/simtime.py +230 -0
- cocotb/simulator.cp312-win32.exp +0 -0
- cocotb/simulator.cp312-win32.lib +0 -0
- cocotb/simulator.cp312-win32.pyd +0 -0
- cocotb/simulator.pyi +107 -0
- cocotb/task.py +478 -213
- cocotb/triggers.py +55 -1092
- cocotb/types/__init__.py +28 -47
- cocotb/types/_abstract_array.py +151 -0
- cocotb/types/_array.py +295 -0
- cocotb/types/_indexing.py +17 -0
- cocotb/types/_logic.py +333 -0
- cocotb/types/_logic_array.py +868 -0
- cocotb/types/{range.py → _range.py} +47 -48
- cocotb/types/_resolve.py +76 -0
- cocotb/utils.py +58 -646
- cocotb-2.0.0.dist-info/METADATA +60 -0
- cocotb-2.0.0.dist-info/RECORD +146 -0
- {cocotb-1.9.2.dist-info → cocotb-2.0.0.dist-info}/WHEEL +1 -1
- cocotb-2.0.0.dist-info/entry_points.txt +2 -0
- {cocotb-1.9.2.dist-info → cocotb-2.0.0.dist-info/licenses}/LICENSE +1 -0
- {cocotb-1.9.2.dist-info → cocotb-2.0.0.dist-info}/top_level.txt +1 -0
- cocotb_tools/__init__.py +0 -0
- cocotb_tools/_coverage.py +33 -0
- cocotb_tools/_vendor/__init__.py +3 -0
- cocotb_tools/check_results.py +65 -0
- cocotb_tools/combine_results.py +152 -0
- cocotb_tools/config.py +241 -0
- {cocotb → cocotb_tools}/ipython_support.py +29 -22
- cocotb_tools/makefiles/Makefile.deprecations +27 -0
- {cocotb/share → cocotb_tools}/makefiles/Makefile.inc +77 -55
- {cocotb/share → cocotb_tools}/makefiles/Makefile.sim +16 -33
- {cocotb/share → cocotb_tools}/makefiles/simulators/Makefile.activehdl +9 -16
- cocotb_tools/makefiles/simulators/Makefile.cvc +61 -0
- cocotb_tools/makefiles/simulators/Makefile.dsim +39 -0
- {cocotb/share → cocotb_tools}/makefiles/simulators/Makefile.ghdl +13 -42
- cocotb_tools/makefiles/simulators/Makefile.icarus +80 -0
- cocotb_tools/makefiles/simulators/Makefile.ius +93 -0
- cocotb_tools/makefiles/simulators/Makefile.modelsim +9 -0
- cocotb_tools/makefiles/simulators/Makefile.nvc +60 -0
- cocotb_tools/makefiles/simulators/Makefile.questa +29 -0
- cocotb/share/makefiles/simulators/Makefile.questa → cocotb_tools/makefiles/simulators/Makefile.questa-compat +26 -54
- cocotb_tools/makefiles/simulators/Makefile.questa-qisqrun +149 -0
- {cocotb/share → cocotb_tools}/makefiles/simulators/Makefile.riviera +17 -56
- cocotb_tools/makefiles/simulators/Makefile.vcs +65 -0
- {cocotb/share → cocotb_tools}/makefiles/simulators/Makefile.verilator +15 -22
- {cocotb/share → cocotb_tools}/makefiles/simulators/Makefile.xcelium +20 -52
- cocotb_tools/py.typed +0 -0
- cocotb_tools/runner.py +1868 -0
- cocotb/_sim_versions.py → cocotb_tools/sim_versions.py +16 -21
- pygpi/entry.py +34 -18
- pygpi/py.typed +0 -0
- cocotb/ANSI.py +0 -92
- cocotb/binary.py +0 -858
- cocotb/config.py +0 -289
- cocotb/decorators.py +0 -332
- cocotb/log.py +0 -303
- cocotb/memdebug.py +0 -35
- cocotb/outcomes.py +0 -56
- cocotb/runner.py +0 -1400
- cocotb/scheduler.py +0 -1099
- cocotb/share/makefiles/Makefile.deprecations +0 -12
- cocotb/share/makefiles/simulators/Makefile.cvc +0 -94
- cocotb/share/makefiles/simulators/Makefile.icarus +0 -111
- cocotb/share/makefiles/simulators/Makefile.ius +0 -125
- cocotb/share/makefiles/simulators/Makefile.modelsim +0 -32
- cocotb/share/makefiles/simulators/Makefile.nvc +0 -64
- cocotb/share/makefiles/simulators/Makefile.vcs +0 -98
- cocotb/types/array.py +0 -309
- cocotb/types/logic.py +0 -292
- cocotb/types/logic_array.py +0 -298
- cocotb/wavedrom.py +0 -199
- cocotb/xunit_reporter.py +0 -80
- cocotb-1.9.2.dist-info/METADATA +0 -168
- cocotb-1.9.2.dist-info/RECORD +0 -121
- cocotb-1.9.2.dist-info/entry_points.txt +0 -2
- /cocotb/{_vendor/__init__.py → py.typed} +0 -0
- {cocotb → cocotb_tools}/_vendor/distutils_version.py +0 -0
cocotb-1.9.2.dist-info/METADATA
DELETED
|
@@ -1,168 +0,0 @@
|
|
|
1
|
-
Metadata-Version: 2.1
|
|
2
|
-
Name: cocotb
|
|
3
|
-
Version: 1.9.2
|
|
4
|
-
Summary: cocotb is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.
|
|
5
|
-
Home-page: https://www.cocotb.org
|
|
6
|
-
Author: Chris Higgs, Stuart Hodgson
|
|
7
|
-
Maintainer: cocotb contributors
|
|
8
|
-
Maintainer-email: cocotb@lists.librecores.org
|
|
9
|
-
License: BSD
|
|
10
|
-
Project-URL: Bug Tracker, https://github.com/cocotb/cocotb/issues
|
|
11
|
-
Project-URL: Source Code, https://github.com/cocotb/cocotb
|
|
12
|
-
Project-URL: Documentation, https://docs.cocotb.org
|
|
13
|
-
Platform: any
|
|
14
|
-
Classifier: Programming Language :: Python :: 3
|
|
15
|
-
Classifier: Programming Language :: Python :: 3.6
|
|
16
|
-
Classifier: Programming Language :: Python :: 3.7
|
|
17
|
-
Classifier: Programming Language :: Python :: 3.8
|
|
18
|
-
Classifier: Programming Language :: Python :: 3.9
|
|
19
|
-
Classifier: Programming Language :: Python :: 3.10
|
|
20
|
-
Classifier: Programming Language :: Python :: 3.11
|
|
21
|
-
Classifier: Programming Language :: Python :: 3.12
|
|
22
|
-
Classifier: Programming Language :: Python :: 3.13
|
|
23
|
-
Classifier: License :: OSI Approved :: BSD License
|
|
24
|
-
Classifier: Topic :: Scientific/Engineering :: Electronic Design Automation (EDA)
|
|
25
|
-
Classifier: Framework :: cocotb
|
|
26
|
-
Requires-Python: >=3.6
|
|
27
|
-
Description-Content-Type: text/markdown
|
|
28
|
-
License-File: LICENSE
|
|
29
|
-
Requires-Dist: find-libpython
|
|
30
|
-
Provides-Extra: bus
|
|
31
|
-
Requires-Dist: cocotb-bus; extra == "bus"
|
|
32
|
-
|
|
33
|
-
**cocotb** is a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python.
|
|
34
|
-
|
|
35
|
-
[](https://docs.cocotb.org/en/latest/)
|
|
36
|
-
[](https://github.com/cocotb/cocotb/actions/workflows/build-test-dev.yml)
|
|
37
|
-
[](https://pypi.org/project/cocotb/)
|
|
38
|
-
[](https://gitpod.io/#https://github.com/cocotb/cocotb)
|
|
39
|
-
[](https://codecov.io/gh/cocotb/cocotb)
|
|
40
|
-
|
|
41
|
-
* Read the [documentation](https://docs.cocotb.org)
|
|
42
|
-
* Get involved:
|
|
43
|
-
* [Raise a bug / request an enhancement](https://github.com/cocotb/cocotb/issues/new) (Requires a GitHub account)
|
|
44
|
-
* [Join the Gitter chat room](https://gitter.im/cocotb/Lobby)
|
|
45
|
-
|
|
46
|
-
## Installation
|
|
47
|
-
|
|
48
|
-
The current stable version of cocotb requires:
|
|
49
|
-
|
|
50
|
-
- Python 3.6+
|
|
51
|
-
- GNU Make 3+
|
|
52
|
-
- An HDL simulator (such as [Icarus Verilog](https://docs.cocotb.org/en/stable/simulator_support.html#icarus-verilog),
|
|
53
|
-
[Verilator](https://docs.cocotb.org/en/stable/simulator_support.html#verilator),
|
|
54
|
-
[GHDL](https://docs.cocotb.org/en/stable/simulator_support.html#ghdl) or
|
|
55
|
-
[other simulator](https://docs.cocotb.org/en/stable/simulator_support.html))
|
|
56
|
-
|
|
57
|
-
After installing these dependencies, the latest stable version 1.x of cocotb can be installed with pip.
|
|
58
|
-
|
|
59
|
-
```command
|
|
60
|
-
pip install 'cocotb == 1.*'
|
|
61
|
-
```
|
|
62
|
-
|
|
63
|
-
For more details on installation, including prerequisites,
|
|
64
|
-
see [the documentation](https://docs.cocotb.org/en/stable/install.html).
|
|
65
|
-
|
|
66
|
-
For details on how to install the *development* version of cocotb,
|
|
67
|
-
see [the preliminary documentation of the future release](https://docs.cocotb.org/en/latest/install_devel.html#install-devel).
|
|
68
|
-
|
|
69
|
-
**!!! Bus and Testbenching Components !!!**
|
|
70
|
-
The reusable bus interfaces and testbenching components have recently been moved to the [cocotb-bus](https://github.com/cocotb/cocotb-bus) package.
|
|
71
|
-
You can easily install these at the same time as cocotb by adding the `bus` extra install: `pip install cocotb[bus]`.
|
|
72
|
-
|
|
73
|
-
## Usage
|
|
74
|
-
|
|
75
|
-
As a first trivial introduction to cocotb, the following example "tests" a flip-flop.
|
|
76
|
-
|
|
77
|
-
First, we need a hardware design which we can test. For this example, create a file `dff.sv` with SystemVerilog code for a simple [D flip-flop](https://en.wikipedia.org/wiki/Flip-flop_(electronics)#D_flip-flop). You could also use any other language a [cocotb-supported simulator](https://docs.cocotb.org/en/stable/simulator_support.html) understands, e.g. VHDL.
|
|
78
|
-
|
|
79
|
-
```systemverilog
|
|
80
|
-
// dff.sv
|
|
81
|
-
|
|
82
|
-
`timescale 1us/1ns
|
|
83
|
-
|
|
84
|
-
module dff (
|
|
85
|
-
output logic q,
|
|
86
|
-
input logic clk, d
|
|
87
|
-
);
|
|
88
|
-
|
|
89
|
-
always @(posedge clk) begin
|
|
90
|
-
q <= d;
|
|
91
|
-
end
|
|
92
|
-
|
|
93
|
-
endmodule
|
|
94
|
-
```
|
|
95
|
-
|
|
96
|
-
An example of a simple randomized cocotb testbench:
|
|
97
|
-
|
|
98
|
-
```python
|
|
99
|
-
# test_dff.py
|
|
100
|
-
|
|
101
|
-
import random
|
|
102
|
-
|
|
103
|
-
import cocotb
|
|
104
|
-
from cocotb.clock import Clock
|
|
105
|
-
from cocotb.triggers import RisingEdge
|
|
106
|
-
from cocotb.types import LogicArray
|
|
107
|
-
|
|
108
|
-
@cocotb.test()
|
|
109
|
-
async def dff_simple_test(dut):
|
|
110
|
-
"""Test that d propagates to q"""
|
|
111
|
-
|
|
112
|
-
# Assert initial output is unknown
|
|
113
|
-
assert LogicArray(dut.q.value) == LogicArray("X")
|
|
114
|
-
# Set initial input value to prevent it from floating
|
|
115
|
-
dut.d.value = 0
|
|
116
|
-
|
|
117
|
-
clock = Clock(dut.clk, 10, units="us") # Create a 10us period clock on port clk
|
|
118
|
-
# Start the clock. Start it low to avoid issues on the first RisingEdge
|
|
119
|
-
cocotb.start_soon(clock.start(start_high=False))
|
|
120
|
-
|
|
121
|
-
# Synchronize with the clock. This will regisiter the initial `d` value
|
|
122
|
-
await RisingEdge(dut.clk)
|
|
123
|
-
expected_val = 0 # Matches initial input value
|
|
124
|
-
for i in range(10):
|
|
125
|
-
val = random.randint(0, 1)
|
|
126
|
-
dut.d.value = val # Assign the random value val to the input port d
|
|
127
|
-
await RisingEdge(dut.clk)
|
|
128
|
-
assert dut.q.value == expected_val, f"output q was incorrect on the {i}th cycle"
|
|
129
|
-
expected_val = val # Save random value for next RisingEdge
|
|
130
|
-
|
|
131
|
-
# Check the final input on the next clock
|
|
132
|
-
await RisingEdge(dut.clk)
|
|
133
|
-
assert dut.q.value == expected_val, "output q was incorrect on the last cycle"
|
|
134
|
-
```
|
|
135
|
-
|
|
136
|
-
A simple Makefile:
|
|
137
|
-
|
|
138
|
-
```make
|
|
139
|
-
# Makefile
|
|
140
|
-
|
|
141
|
-
TOPLEVEL_LANG = verilog
|
|
142
|
-
VERILOG_SOURCES = $(shell pwd)/dff.sv
|
|
143
|
-
TOPLEVEL = dff
|
|
144
|
-
MODULE = test_dff
|
|
145
|
-
|
|
146
|
-
include $(shell cocotb-config --makefiles)/Makefile.sim
|
|
147
|
-
```
|
|
148
|
-
|
|
149
|
-
In order to run the test with Icarus Verilog, execute:
|
|
150
|
-
|
|
151
|
-
```command
|
|
152
|
-
make SIM=icarus
|
|
153
|
-
```
|
|
154
|
-
|
|
155
|
-
[](https://asciinema.org/a/317220)
|
|
156
|
-
|
|
157
|
-
For more information please see the [cocotb documentation](https://docs.cocotb.org/)
|
|
158
|
-
and [our wiki](https://github.com/cocotb/cocotb/wiki).
|
|
159
|
-
|
|
160
|
-
## Tutorials, examples and related projects
|
|
161
|
-
|
|
162
|
-
* the tutorial section [in the official documentation](https://docs.cocotb.org/)
|
|
163
|
-
* [cocotb-bus](https://github.com/cocotb/cocotb-bus) for pre-packaged testbenching tools and reusable bus interfaces.
|
|
164
|
-
* [cocotb-based USB 1.1 test suite](https://github.com/antmicro/usb-test-suite-build) for FPGA IP, with testbenches for a variety of open source USB cores
|
|
165
|
-
* [`cocotb-coverage`](https://github.com/mciepluc/cocotb-coverage), an extension for Functional Coverage and Constrained Randomization
|
|
166
|
-
* [`uvm-python`](https://github.com/tpoikela/uvm-python), an almost 1:1 port of UVM 1.2 to Python
|
|
167
|
-
* our wiki [on extension modules](https://github.com/cocotb/cocotb/wiki/Further-Resources#extension-modules-cocotbext)
|
|
168
|
-
* the list of [GitHub projects depending on cocotb](https://github.com/cocotb/cocotb/network/dependents)
|
cocotb-1.9.2.dist-info/RECORD
DELETED
|
@@ -1,121 +0,0 @@
|
|
|
1
|
-
cocotb/ANSI.py,sha256=BXtYyxla8R_bHRasjDyZGibYDNoh2deY8Snyre8YT54,3458
|
|
2
|
-
cocotb/__init__.py,sha256=Lo_Szzkb3wjzrJriMMKETGgJ4uGOoBiQFzDlJ15S69U,12181
|
|
3
|
-
cocotb/_deprecation.py,sha256=zCaBqq6H9GRyKgSij9NvLs6Ku5CIdvKuGW6rLwi1Hhk,1189
|
|
4
|
-
cocotb/_py_compat.py,sha256=Z-6oewHZbG79nO_tILrRrClahxjYaeEShezbcLnJsc4,2754
|
|
5
|
-
cocotb/_sim_versions.py,sha256=sZWOj9odl_yPo92B9k_b1c2XHll4roD-oHnVSqY4VhE,3689
|
|
6
|
-
cocotb/_version.py,sha256=5vOr_pg054-fsZswF3QrFBpkMzQTl6K8nVYJ7LhXSy8,303
|
|
7
|
-
cocotb/binary.py,sha256=8hmcvOGTbfbuigXtmqv-XJLGswL7eIcwtle4ZZzTqRU,28101
|
|
8
|
-
cocotb/clock.py,sha256=tyrtalfTCUiBTTfo_4kIGKG5mnCSCq3hLmAxwrmyQyM,6734
|
|
9
|
-
cocotb/config.py,sha256=70EKduzmki3femKYnhjHgVYOyw_abZ8PTxBvOQ9NmGI,9943
|
|
10
|
-
cocotb/decorators.py,sha256=h_mn2iOXybXj-29lt39PdStas5NyTRP9475iu_c-wqA,12059
|
|
11
|
-
cocotb/handle.py,sha256=Amc7Qe-ayjEHEOwBDHeQY9QAsmuxzM-dVBvUZ9rlsyQ,42802
|
|
12
|
-
cocotb/ipython_support.py,sha256=gon5kkyYVl2nJPHZmyo33vFkb0F9qEPMdPKh70WJx0g,3228
|
|
13
|
-
cocotb/log.py,sha256=_pq5KzdU3dbFdSmaJfu_GhLSmIQaGuNsXQkIulSZuT0,10746
|
|
14
|
-
cocotb/memdebug.py,sha256=UzsA9FtitLlxt-Y9pf_vlknKYX13mroVjZqvax9ddzs,1888
|
|
15
|
-
cocotb/outcomes.py,sha256=4khaKTI7dZWhIAH1vZ7XWejQtlSGWb1-ZuHvSRClK9w,1355
|
|
16
|
-
cocotb/queue.py,sha256=BoFIJ8tO2HGTPb_30I9Td7-W6VLQ54kquPrc5qLw2dk,5338
|
|
17
|
-
cocotb/regression.py,sha256=QMaNkW9SP09KyUGnIQMQ3UVO1BEeW5Q9HQsG2XKiRrc,33678
|
|
18
|
-
cocotb/result.py,sha256=0B3i0wXair7gfKlelTrRSkFpPbyuhsW2kPtSvSasweo,6905
|
|
19
|
-
cocotb/runner.py,sha256=MoWErcb45_kNA-OzmrmNEQ4VEgy0pxPnw8oBGPNT7-w,51685
|
|
20
|
-
cocotb/scheduler.py,sha256=VT7Dg0Jo6NfbsRtImnNVdox0UEtpxpMEi6jNiBx4tZQ,42138
|
|
21
|
-
cocotb/simulator.cp312-win32.exp,sha256=N13Oz540v69F2uVCmIo3vsNNqVLxSNnVTZiU7xphI7E,749
|
|
22
|
-
cocotb/simulator.cp312-win32.lib,sha256=LP89_MaNpIi3YEHMz7NjqI4uFARFZ1pQempx0eUVeT0,1992
|
|
23
|
-
cocotb/simulator.cp312-win32.pyd,sha256=FzwxMFbq4ivBVhMaGB-TPFVaUD92uEolvkaTTwYD05k,26624
|
|
24
|
-
cocotb/simulator.cp312-win32.pyd.2.config,sha256=JGoavZmGw71REMRGu8DrBlQezZSCkQx7E3GOzGIf9_o,263
|
|
25
|
-
cocotb/task.py,sha256=l51CgFAzBfKQD0snRuROoU24g52fd91wZM8GfSc-CQA,11360
|
|
26
|
-
cocotb/triggers.py,sha256=GP79vYMcH78HjHXfUaHLyOl1uJM8R5XL34i93HSmGHU,36077
|
|
27
|
-
cocotb/utils.py,sha256=JTc870duDxUtSIM_UxXhsW9DtBvz6ziTagpn5wQ2ryU,23762
|
|
28
|
-
cocotb/wavedrom.py,sha256=xQ_xG_cdUc4q4hju7Sjys-gFrJCg7RngCPFNI3A4KAA,6187
|
|
29
|
-
cocotb/xunit_reporter.py,sha256=DrRM7Fn9LBY2hmtWI0PNFkiULpdNtqNzAk-1aw8Zd80,3632
|
|
30
|
-
cocotb/_vendor/__init__.py,sha256=47DEQpj8HBSa-_TImW-5JCeuQeRkm5NMpJWZG3hSuFU,0
|
|
31
|
-
cocotb/_vendor/distutils_version.py,sha256=k4Zipnnw88hyoE5MLBbgcXh9iu7iYGK3K5s97wVpOFE,13185
|
|
32
|
-
cocotb/libs/cocotb.dll,sha256=uCxSBANX0zmdzeoptoltLyotiXZr4jlyAdPHOR5P_oA,22016
|
|
33
|
-
cocotb/libs/cocotb.exp,sha256=GsGzno222_pnaOKzeprHthcJbTSP4vvGqFmtLuVzCu4,1113
|
|
34
|
-
cocotb/libs/cocotb.lib,sha256=2xH6_e0zXbrLGpRLXYURGH7biFi-E9oP9NwelhkstM4,2372
|
|
35
|
-
cocotb/libs/cocotbfli_modelsim.dll,sha256=oHFn7IMg946_Ure9NLUqf6xRCr1AczI6L6EMtCaJOJ8,71168
|
|
36
|
-
cocotb/libs/cocotbfli_modelsim.exp,sha256=y2uOe8595_tTdrHU_bhkb2Y1ES20d43KLPfU_56W-WU,875
|
|
37
|
-
cocotb/libs/cocotbfli_modelsim.lib,sha256=_LCQHjVVEsnCS50WEEroe0xvk1BCNk3z7zhYNJ2NSsI,2176
|
|
38
|
-
cocotb/libs/cocotbutils.dll,sha256=DKxl5szTEeYub3MxetQKqtelTwLa0b0xsVTB6TYKz8s,9728
|
|
39
|
-
cocotb/libs/cocotbutils.exp,sha256=rm5Z_xRGYhtRelQUzMHqIp5rQSG9xz-SwZE8Kql5YNM,976
|
|
40
|
-
cocotb/libs/cocotbutils.lib,sha256=HsdiR-F3RintiDbu0CQXjAEeASIxoBRDX2R-LtwlJu4,2154
|
|
41
|
-
cocotb/libs/cocotbvhpi_aldec.dll,sha256=SYDtfvjeP7fVcB6jHvrg0FZvW_s8bdglCZ-K1ctALxk,66560
|
|
42
|
-
cocotb/libs/cocotbvhpi_aldec.exp,sha256=61W8pQB5qnDXnrZdxiqRtBWGzCxFjb7K8S7ezwtSAU4,1075
|
|
43
|
-
cocotb/libs/cocotbvhpi_aldec.lib,sha256=vWouEC3u4T4XB-LRpLzOjMIEwIAMI5TBkmzj566R1C4,2448
|
|
44
|
-
cocotb/libs/cocotbvhpi_modelsim.dll,sha256=Mk1R5AM3QhrXjpr0npSkZmWuB5oMRk0sbqkrLEF_3Ik,66560
|
|
45
|
-
cocotb/libs/cocotbvhpi_modelsim.exp,sha256=rfbtuMEqEniZMPBAubhoKv8ITGx7n_nx43rUWG4mXHk,1082
|
|
46
|
-
cocotb/libs/cocotbvhpi_modelsim.lib,sha256=mUX6byF-vNN5LmVEYAdSQRSqp7MJM183ZKeIYJgYhbA,2492
|
|
47
|
-
cocotb/libs/cocotbvpi_aldec.dll,sha256=JXABGwJKTn_HXM3_1w61BAX6Ee-IhVnOGU7S9eQ2NJI,55296
|
|
48
|
-
cocotb/libs/cocotbvpi_aldec.exp,sha256=zKJ7yCizV0tzuUZTgAI6gteK13DEcZSFgGSucY8dVFw,1070
|
|
49
|
-
cocotb/libs/cocotbvpi_aldec.lib,sha256=qTM5sqWxFlFfOxoO9Ul5BVFBvXtyDLIsRDopl0yDQDQ,2428
|
|
50
|
-
cocotb/libs/cocotbvpi_ghdl.dll,sha256=JY12P365h0LpdM1E7OPW9GxrsEJGWPvrUhgae65cwCk,55296
|
|
51
|
-
cocotb/libs/cocotbvpi_ghdl.exp,sha256=mppdcD2heAdZd75iCs5J6sOF3UpBhUdwIrNsc-H9Stg,1069
|
|
52
|
-
cocotb/libs/cocotbvpi_ghdl.lib,sha256=VlQEIPlp0wDKcjGO7UTqlWOZfc8ctNIczUGNiS4dBbk,2412
|
|
53
|
-
cocotb/libs/cocotbvpi_icarus.exp,sha256=_Ww6bgi193IzY_HhjCxHMZynSynrc-96PhPeuZzGcm4,1073
|
|
54
|
-
cocotb/libs/cocotbvpi_icarus.lib,sha256=wW99qnEZaopG_64RsGl8m5ABY8Re7MP70uY7IQ-bfOE,2442
|
|
55
|
-
cocotb/libs/cocotbvpi_icarus.vpl,sha256=kUbEX2rBb905S5NsOGzFKFWk-0LVykUDcvhi03PDFZs,55296
|
|
56
|
-
cocotb/libs/cocotbvpi_modelsim.dll,sha256=B91KVRuo8K4bvuPld_MNmKEFvBCy5_UMdBMHEK4MFh0,55296
|
|
57
|
-
cocotb/libs/cocotbvpi_modelsim.exp,sha256=PSSCMEOW8hbaJksg-L2yI6F0oygymXLqc4WhFGt62ug,1077
|
|
58
|
-
cocotb/libs/cocotbvpi_modelsim.lib,sha256=uz3IKNFqGxeZg_LR5K0qUvDK-ysQuvsoxveMlr3VFr0,2472
|
|
59
|
-
cocotb/libs/embed.dll,sha256=TbMhQ6AVgKTJEdW1SXdBgXICU_KPtMFBu4zG4ZCbLzg,10752
|
|
60
|
-
cocotb/libs/embed.exp,sha256=YjtUGLQvmXZsw6wsLa-aM3eeg3uR2q2wdlvCxNNdTsc,1100
|
|
61
|
-
cocotb/libs/embed.lib,sha256=FdBjLuDALT1xrtC1MJv0ik9gEO96O4FeYkkXbPteOQA,2336
|
|
62
|
-
cocotb/libs/gpi.dll,sha256=f3bMOTJKsOyuOEui2MbefPOPpkz7E_WpqF45Ld_woCY,39424
|
|
63
|
-
cocotb/libs/gpi.exp,sha256=w-tXk-BZmOpjlzWvf3Hgajf4kKc6a_-k6TSMynhybDo,20708
|
|
64
|
-
cocotb/libs/gpi.lib,sha256=ZZHd88sh_fO5DMV-fLKeDPSVSAgD6-MTOLzO9jaZZ0A,33912
|
|
65
|
-
cocotb/libs/gpilog.dll,sha256=fS08K_NtRy2-3txGiouBmKU2KxxckJ7LjT92k2oJ9ik,13312
|
|
66
|
-
cocotb/libs/gpilog.exp,sha256=4Q9rfDdeihBkOubSlzq5x5EaqvCmpG-KqplAloNZdqA,1652
|
|
67
|
-
cocotb/libs/gpilog.lib,sha256=6Lj8nmF86mVY7FD4Lbjwg_D1CojGMqJFeHoQRd2zBqE,3272
|
|
68
|
-
cocotb/libs/pygpilog.dll,sha256=4PkgLnHj0zNp7OQR7oxa0UunHmHGgKyBamdB-lwFgTU,14848
|
|
69
|
-
cocotb/libs/pygpilog.exp,sha256=Z7GOhaeQqlCnBoMyd1XlFHHqeTPqzTzCMlilSIejWE4,1045
|
|
70
|
-
cocotb/libs/pygpilog.lib,sha256=rwAkKjB7X4VKywjZwVSvtI0B3IX0F--oxrCK_BmY1XA,2280
|
|
71
|
-
cocotb/share/def/.gitignore,sha256=JYPaHghbqtLFl-9QtOWaAHvoDOhVxEewSYwHgoYYgf8,46
|
|
72
|
-
cocotb/share/def/README.md,sha256=dptv3zHQGzMqLt3Zv4XOd5IZeGqQS7Lwcq1XCs3BcR0,380
|
|
73
|
-
cocotb/share/def/aldec.def,sha256=ItgxVlRaVuD20jGTN3JpkbHEO8jPfU5XjFlUqDUXzlU,991
|
|
74
|
-
cocotb/share/def/aldec.exp,sha256=-WE4QyYySk407MEgCvttP5VBGDp0vjGsX5HSYhpgWmI,7802
|
|
75
|
-
cocotb/share/def/aldec.lib,sha256=sUa_nE6ntm_yTDHTj018utpn-yvJwv-7C7KPvexKtBE,13212
|
|
76
|
-
cocotb/share/def/ghdl.def,sha256=ETQh5VI72dWSJi_ig5m163GfLrxScYUamt5BjHjoKsY,705
|
|
77
|
-
cocotb/share/def/ghdl.exp,sha256=ScTP5zAOFFPv6jARJlGwSO2gLi0OpKc1lZmUwHBH1aw,5670
|
|
78
|
-
cocotb/share/def/ghdl.lib,sha256=sGSgF_8sPM-mIXEbm0QNx0sXq-UkAyED91Z42pKUGOM,9780
|
|
79
|
-
cocotb/share/def/icarus.def,sha256=VRqkgUyzR2sa916Ra56cZVIb4kzbqDlJVWIOc3389qY,698
|
|
80
|
-
cocotb/share/def/icarus.exp,sha256=jcMrT7j7--x37OnGf6t9Pqbdk6pqEctqEBcrNmNS0_s,5664
|
|
81
|
-
cocotb/share/def/icarus.lib,sha256=XS5_IGq-1ym5PhxVHZYHb8pKzwXVwGFJ19KgsFq2lXY,9412
|
|
82
|
-
cocotb/share/def/modelsim.def,sha256=_6VexHTDM7geGOfedUCm6CLRpXS4DrcHUlP_BQxL1Nc,2389
|
|
83
|
-
cocotb/share/def/modelsim.exp,sha256=JnWHu1zl_bAhFjQ0D-SKfx7Mkx3Yk6FbVZCqhpxFveo,16632
|
|
84
|
-
cocotb/share/def/modelsim.lib,sha256=X54aVShlGmtNFX2eCF9PQ3PXURqf4HBj66wUvGNxopo,27556
|
|
85
|
-
cocotb/share/include/cocotb_utils.h,sha256=v3byzF0uZbks5umkKBe-mgB9J3ShtKwam0_S2vIS10A,4091
|
|
86
|
-
cocotb/share/include/embed.h,sha256=Fw0szHcAsXyrK_APXxZE-t0ttqmA8IUC72XXYO5yPl8,2509
|
|
87
|
-
cocotb/share/include/exports.h,sha256=RRDg9diMCUUJxdzKX0B6TGmQBNttcoVMBVCCLUUKsrA,677
|
|
88
|
-
cocotb/share/include/gpi.h,sha256=FvlF0QQD1rfy_jZ6Q_EnUpymNz7BtrGCgunXV8hg40k,10264
|
|
89
|
-
cocotb/share/include/gpi_logging.h,sha256=_Z00YAtMqSr5Mk3WSQ0YjQ5nyPvsxA5vmou1G8lX-B4,10553
|
|
90
|
-
cocotb/share/include/py_gpi_logging.h,sha256=zuuDIsKCdLOfUwOMv5EzeQWHuM0PaHzYE1kIH6TSWys,672
|
|
91
|
-
cocotb/share/include/vhpi_user_ext.h,sha256=VLXbA-oeO83mL1vR0e4Ye0zMe5ijIaXnvT2FRFgsYDY,654
|
|
92
|
-
cocotb/share/include/vpi_user_ext.h,sha256=ofqT24X1KOLeIDowuZxKDYvCTgXAy_RzMz5-_LjSZas,2511
|
|
93
|
-
cocotb/share/lib/verilator/verilator.cpp,sha256=YSgErhCJUqKkuVIYHZhd9wNVASCwbsMwSzxrhbjolL4,5930
|
|
94
|
-
cocotb/share/makefiles/Makefile.deprecations,sha256=83TZ1sYlXpVL5erEAr1Y0ZknoX-3vVn5gcwHwaAf30k,428
|
|
95
|
-
cocotb/share/makefiles/Makefile.inc,sha256=C9tqM_q9ApnznJ4BE4vB1TDmfvXhP6WzFfwFpFeu8g4,6886
|
|
96
|
-
cocotb/share/makefiles/Makefile.sim,sha256=ZcH75xfEH-8tr3ep_Nt64R5F-sRKFWucxodGNx0g8UY,5406
|
|
97
|
-
cocotb/share/makefiles/simulators/Makefile.activehdl,sha256=_gw5TqzZma_D02yzBGsZbTqXWF6_nlIhRFHEmstVcS8,2884
|
|
98
|
-
cocotb/share/makefiles/simulators/Makefile.cvc,sha256=FyoJAf3S69441uHa94zO8PYNn6mhG2wJrfAF-B8GTEI,4013
|
|
99
|
-
cocotb/share/makefiles/simulators/Makefile.ghdl,sha256=SzBp8k9zoCnf-YS4AGMRLZAmlmglfF8us1fGimqoDK8,4849
|
|
100
|
-
cocotb/share/makefiles/simulators/Makefile.icarus,sha256=rP0b4s74sjGJHf5fY8YZXF3vRI_hkonTOAp09t3D4pw,4478
|
|
101
|
-
cocotb/share/makefiles/simulators/Makefile.ius,sha256=ddE1WNJjjqhsCYX4h0UFYxanVTWeuaAj5w4cHBy7wrE,5076
|
|
102
|
-
cocotb/share/makefiles/simulators/Makefile.modelsim,sha256=MQYmRb59nvUkY_f7GXUQVEYXQnU4IWA2-_G0N08StuI,1938
|
|
103
|
-
cocotb/share/makefiles/simulators/Makefile.nvc,sha256=67ExfYS0a94yl2zapb-dqAwjbAsH7w_pbYnaXSUT1Zc,2187
|
|
104
|
-
cocotb/share/makefiles/simulators/Makefile.questa,sha256=mIpdGq2safFnmkzAnmnErco4ZwMW_8mxui_cSs-2dbE,6732
|
|
105
|
-
cocotb/share/makefiles/simulators/Makefile.riviera,sha256=vYlPUC1fl5eSxklyBG9cCFBaKSYId1B3nHsSTFjs200,7060
|
|
106
|
-
cocotb/share/makefiles/simulators/Makefile.vcs,sha256=nT_Qang2qJg7XOF2ljeBpZSmfcw3mTu50LKz6Ltu2jI,3843
|
|
107
|
-
cocotb/share/makefiles/simulators/Makefile.verilator,sha256=f6yGtKg1_auBgtjqrLqf2XpbwOSD8nWwlPG6Amed-2A,2710
|
|
108
|
-
cocotb/share/makefiles/simulators/Makefile.xcelium,sha256=MDHygJhSRuMBiDcGlLXF26E2yNGO79utOiGmE0sJTFA,5762
|
|
109
|
-
cocotb/types/__init__.py,sha256=-M5mR--OWtFZ7Y4pjxB9CF4kmFtroDR7kzz1ba6h0No,1853
|
|
110
|
-
cocotb/types/array.py,sha256=JAg5YB84WwERF7S5SK16HvmzCYJgmc5trLATJT3TIqo,11208
|
|
111
|
-
cocotb/types/logic.py,sha256=PH5zocv9SpJam9Scc8Cl3uiDtPdtixhbiVLXjJPsPW8,8791
|
|
112
|
-
cocotb/types/logic_array.py,sha256=0XpStXNA7qY8FIoamb-dnn-IbzIOXIBoxd0tiWMlhVE,10255
|
|
113
|
-
cocotb/types/range.py,sha256=f25nic3X8jpd14nFU61D3izEOT77zPF_txZkWNrZ7N8,6428
|
|
114
|
-
pygpi/__init__.py,sha256=47DEQpj8HBSa-_TImW-5JCeuQeRkm5NMpJWZG3hSuFU,0
|
|
115
|
-
pygpi/entry.py,sha256=LDJ8WK-s9nY_A5GEvPUuJTvnGqZvkhOe7i_yGQmqrI0,972
|
|
116
|
-
cocotb-1.9.2.dist-info/LICENSE,sha256=oBp8aKF9rhLjG78uW4zxmiVsjA8Viwwdyj3nSbEXpmc,1570
|
|
117
|
-
cocotb-1.9.2.dist-info/METADATA,sha256=ETVCctZAbaRWYvJwaAYYTepMosJgrWou3ImRKeuy5Hc,7069
|
|
118
|
-
cocotb-1.9.2.dist-info/WHEEL,sha256=WvkOSu_HO_oE3sQnGm0UPRliwo7WiDQj1dfKR15g8gQ,97
|
|
119
|
-
cocotb-1.9.2.dist-info/entry_points.txt,sha256=Z2JL-ZgU-G5au6uYpkWZz9Pfk7qnh4fcoQJmBxnHSZQ,53
|
|
120
|
-
cocotb-1.9.2.dist-info/top_level.txt,sha256=w-hmA_Ca52PldJkt5ya7gRP9tpUXfeJUGLMfXdv5Ez4,393
|
|
121
|
-
cocotb-1.9.2.dist-info/RECORD,,
|
|
File without changes
|
|
File without changes
|