nuxt-og-image 3.0.0-rc.5 → 3.0.0-rc.51

This diff represents the content of publicly available package versions that have been released to one of the supported registries. The information contained in this diff is provided for informational purposes only and reflects changes between package versions as they appear in their respective public registries.
Files changed (405) hide show
  1. package/README.md +5 -10
  2. package/dist/client/200.html +238 -15
  3. package/dist/client/404.html +238 -15
  4. package/dist/client/_nuxt/-7WWnkdy.js +517 -0
  5. package/dist/client/_nuxt/1Je9_Reo.js +136 -0
  6. package/dist/client/_nuxt/2rhOc95h.js +135 -0
  7. package/dist/client/_nuxt/37ic6j3l.js +1 -0
  8. package/dist/client/_nuxt/3ghuYFLd.js +1 -0
  9. package/dist/client/_nuxt/6Kuza3Wr.js +1 -0
  10. package/dist/client/_nuxt/6XBPEST2.js +1 -0
  11. package/dist/client/_nuxt/7fd6vGzb.js +1 -0
  12. package/dist/client/_nuxt/8t4jSAMm.js +1 -0
  13. package/dist/client/_nuxt/9B1nZgL-.js +1 -0
  14. package/dist/client/_nuxt/9ZOzOPqN.js +1 -0
  15. package/dist/client/_nuxt/A1WiD9SJ.js +1 -0
  16. package/dist/client/_nuxt/AgnqFTPX.js +13 -0
  17. package/dist/client/_nuxt/B1nm99XP.js +2 -0
  18. package/dist/client/_nuxt/B2BuIiKK.js +1 -0
  19. package/dist/client/_nuxt/B2DkETJQ.js +1 -0
  20. package/dist/client/_nuxt/B4yE-MfL.js +38 -0
  21. package/dist/client/_nuxt/B6nY7VDA.js +25 -0
  22. package/dist/client/_nuxt/B9CT-1u6.js +7 -0
  23. package/dist/client/_nuxt/B9E_xdxs.js +666 -0
  24. package/dist/client/_nuxt/B9S9BZZh.js +1 -0
  25. package/dist/client/_nuxt/BBDuFDsq.js +1 -0
  26. package/dist/client/_nuxt/BBJYjQ0k.js +1 -0
  27. package/dist/client/_nuxt/BBv1LFm6.js +6 -0
  28. package/dist/client/_nuxt/BF6G6X0H.js +1 -0
  29. package/dist/client/_nuxt/BG_OyJVq.js +1 -0
  30. package/dist/client/_nuxt/BH1M7C1g.js +1 -0
  31. package/dist/client/_nuxt/BH_RS3WO.js +1 -0
  32. package/dist/client/_nuxt/BICIrM8O.js +1 -0
  33. package/dist/client/_nuxt/BILqrcpa.js +1 -0
  34. package/dist/client/_nuxt/BIMuYTWL.js +3 -0
  35. package/dist/client/_nuxt/BITGhEdf.js +1 -0
  36. package/dist/client/_nuxt/BIxS-Weu.js +1 -0
  37. package/dist/client/_nuxt/BLluXI4E.js +1 -0
  38. package/dist/client/_nuxt/BN4LRXf6.js +4 -0
  39. package/dist/client/_nuxt/BOnd8D4O.js +186 -0
  40. package/dist/client/_nuxt/BOpLLL-w.js +1 -0
  41. package/dist/client/_nuxt/BPiaQZfK.js +1 -0
  42. package/dist/client/_nuxt/BR6CMsBL.js +1 -0
  43. package/dist/client/_nuxt/BSB_bK09.js +1 -0
  44. package/dist/client/_nuxt/BSyl5yf0.js +1 -0
  45. package/dist/client/_nuxt/BT9ZzGyQ.js +69 -0
  46. package/dist/client/_nuxt/BTtaZsq5.js +1 -0
  47. package/dist/client/_nuxt/BUpeXbsf.js +25 -0
  48. package/dist/client/_nuxt/BWKJ1FCf.js +239 -0
  49. package/dist/client/_nuxt/BXF7Vm5l.js +1 -0
  50. package/dist/client/_nuxt/BXfENWL6.js +1 -0
  51. package/dist/client/_nuxt/BZz1Hhek.js +1 -0
  52. package/dist/client/_nuxt/B_SUYfiV.js +1 -0
  53. package/dist/client/_nuxt/BaNxgKSR.js +17 -0
  54. package/dist/client/_nuxt/BaWyeHV_.js +1 -0
  55. package/dist/client/_nuxt/BaedD2tq.js +1 -0
  56. package/dist/client/_nuxt/BbJj1K1w.js +1 -0
  57. package/dist/client/_nuxt/Bbv8ARUV.js +13 -0
  58. package/dist/client/_nuxt/Bd7iooY8.js +8 -0
  59. package/dist/client/_nuxt/Bdb36Id5.js +1 -0
  60. package/dist/client/_nuxt/BeX7Iu5M.js +90 -0
  61. package/dist/client/_nuxt/BeocmOPF.js +1 -0
  62. package/dist/client/_nuxt/Bg0wAK5a.js +100 -0
  63. package/dist/client/_nuxt/BgBwMnrY.js +76 -0
  64. package/dist/client/_nuxt/Bgpqy2XC.js +1 -0
  65. package/dist/client/_nuxt/Bh8mriwU.js +17 -0
  66. package/dist/client/_nuxt/BiDE021q.js +1 -0
  67. package/dist/client/_nuxt/Bj5xdiaE.js +1 -0
  68. package/dist/client/_nuxt/BlxWTfDV.js +699 -0
  69. package/dist/client/_nuxt/BmCW-tYz.js +86 -0
  70. package/dist/client/_nuxt/Bn5gmY5k.js +1 -0
  71. package/dist/client/_nuxt/BrGg7AAd.js +1 -0
  72. package/dist/client/_nuxt/BsfQk1vf.js +1 -0
  73. package/dist/client/_nuxt/Bsp1M94H.js +1 -0
  74. package/dist/client/_nuxt/BsvsQ1iS.js +1 -0
  75. package/dist/client/_nuxt/BswfO-CF.js +1 -0
  76. package/dist/client/_nuxt/Btshr8M_.js +70 -0
  77. package/dist/client/_nuxt/BxwAa5i0.js +1 -0
  78. package/dist/client/_nuxt/ByMv4Xf1.js +1 -0
  79. package/dist/client/_nuxt/Bz4xi2rU.js +1 -0
  80. package/dist/client/_nuxt/C-i5r_gR.js +1 -0
  81. package/dist/client/_nuxt/C15OxdQ5.js +1 -0
  82. package/dist/client/_nuxt/C1DVX8_8.js +831 -0
  83. package/dist/client/_nuxt/C2TBxDwV.js +1 -0
  84. package/dist/client/_nuxt/C3YqBJkQ.js +1 -0
  85. package/dist/client/_nuxt/C5gCGmDW.js +200 -0
  86. package/dist/client/_nuxt/C6Aznpr-.js +1 -0
  87. package/dist/client/_nuxt/C7oZ9nno.js +1 -0
  88. package/dist/client/_nuxt/C86elO-m.js +1 -0
  89. package/dist/client/_nuxt/C9d3aiqh.js +1 -0
  90. package/dist/client/_nuxt/CApMHEaB.js +1 -0
  91. package/dist/client/_nuxt/CBL0qBdF.js +1 -0
  92. package/dist/client/_nuxt/CBPJd_fO.js +1 -0
  93. package/dist/client/_nuxt/CG4fK2Nq.js +21 -0
  94. package/dist/client/_nuxt/CGQbO34C.js +1 -0
  95. package/dist/client/_nuxt/CIekO_uJ.js +1 -0
  96. package/dist/client/_nuxt/CJQrLysU.js +1 -0
  97. package/dist/client/_nuxt/CL7Ixq1B.js +1 -0
  98. package/dist/client/_nuxt/CMDmr8et.js +2 -0
  99. package/dist/client/_nuxt/CPHkTjfH.js +1 -0
  100. package/dist/client/_nuxt/CQTpvb7m.js +1 -0
  101. package/dist/client/_nuxt/CQUCfALx.js +13 -0
  102. package/dist/client/_nuxt/CRDKj6ck.js +1 -0
  103. package/dist/client/_nuxt/CRWwmX0L.js +1 -0
  104. package/dist/client/_nuxt/CRlnGVMD.js +1 -0
  105. package/dist/client/_nuxt/CThH5sBG.js +1 -0
  106. package/dist/client/_nuxt/CUVblut_.js +1 -0
  107. package/dist/client/_nuxt/CX_FIdg1.js +1 -0
  108. package/dist/client/_nuxt/CZZ6oYdA.js +1 -0
  109. package/dist/client/_nuxt/C_8Fx7bH.js +1 -0
  110. package/dist/client/_nuxt/CbViG2Xw.js +74 -0
  111. package/dist/client/_nuxt/CbYhyuC0.js +505 -0
  112. package/dist/client/_nuxt/Cda-MJFk.js +1 -0
  113. package/dist/client/_nuxt/CdedUr_-.js +1 -0
  114. package/dist/client/_nuxt/CfPQhs6g.js +7 -0
  115. package/dist/client/_nuxt/Cicx_DS6.js +1 -0
  116. package/dist/client/_nuxt/ClXEvkw9.js +68 -0
  117. package/dist/client/_nuxt/CoEqzGFw.js +44 -0
  118. package/dist/client/_nuxt/CowR2XfX.js +1 -0
  119. package/dist/client/_nuxt/CrhH3_Og.js +1 -0
  120. package/dist/client/_nuxt/CrjQeCwm.js +1 -0
  121. package/dist/client/_nuxt/CsTmP73Z.js +1 -0
  122. package/dist/client/_nuxt/CsYA0RN7.js +1 -0
  123. package/dist/client/_nuxt/CsyjKwr8.js +1 -0
  124. package/dist/client/_nuxt/CsyrCbsw.js +699 -0
  125. package/dist/client/_nuxt/Cthz8VOO.js +771 -0
  126. package/dist/client/_nuxt/CupVZNk8.js +25 -0
  127. package/dist/client/_nuxt/CxrokwfH.js +1 -0
  128. package/dist/client/_nuxt/CzPA46E-.js +1 -0
  129. package/dist/client/_nuxt/D-pQtGOP.js +1 -0
  130. package/dist/client/_nuxt/D384ylkT.js +1 -0
  131. package/dist/client/_nuxt/D3VXSfF0.js +1 -0
  132. package/dist/client/_nuxt/D4LaosRr.js +1 -0
  133. package/dist/client/_nuxt/D4LhxNOI.js +1 -0
  134. package/dist/client/_nuxt/D4k753MY.js +1 -0
  135. package/dist/client/_nuxt/D6NljDpC.js +1 -0
  136. package/dist/client/_nuxt/D6pmzCqS.js +1 -0
  137. package/dist/client/_nuxt/D7gg1Usp.js +1 -0
  138. package/dist/client/_nuxt/DAGYewaG.js +559 -0
  139. package/dist/client/_nuxt/DAVo6uMX.js +1 -0
  140. package/dist/client/_nuxt/DBxHOdLe.js +1 -0
  141. package/dist/client/_nuxt/DC4hdoM9.js +87 -0
  142. package/dist/client/_nuxt/DC8MraHL.js +666 -0
  143. package/dist/client/_nuxt/DCAtC51B.js +80 -0
  144. package/dist/client/_nuxt/DCdPDLy4.js +40 -0
  145. package/dist/client/_nuxt/DCj4DH6i.js +1 -0
  146. package/dist/client/_nuxt/DCk2z-Tu.js +129 -0
  147. package/dist/client/_nuxt/DDRuGeQH.js +1 -0
  148. package/dist/client/_nuxt/DFF-wSSt.js +8 -0
  149. package/dist/client/_nuxt/DGO8GyiP.js +1 -0
  150. package/dist/client/_nuxt/DHmGyzbM.js +109 -0
  151. package/dist/client/_nuxt/DISxKEhY.js +756 -0
  152. package/dist/client/_nuxt/DIr-ordK.js +1 -0
  153. package/dist/client/_nuxt/DJZWsvj0.js +1 -0
  154. package/dist/client/_nuxt/DLP9ZvWb.js +3 -0
  155. package/dist/client/_nuxt/DNR26wTC.js +1 -0
  156. package/dist/client/_nuxt/DOtRmeXT.js +1 -0
  157. package/dist/client/_nuxt/DSrLtGYv.js +15 -0
  158. package/dist/client/_nuxt/DSsji4Hu.js +1 -0
  159. package/dist/client/_nuxt/DUdlC5k_.js +1 -0
  160. package/dist/client/_nuxt/DVfdqzEq.js +1 -0
  161. package/dist/client/_nuxt/DXFkqnOI.js +816 -0
  162. package/dist/client/_nuxt/DXT9h7v2.js +1 -0
  163. package/dist/client/_nuxt/DXZpi2gR.js +1 -0
  164. package/dist/client/_nuxt/DXpYegZJ.js +1 -0
  165. package/dist/client/_nuxt/DY7_9Ga-.js +1 -0
  166. package/dist/client/_nuxt/DYI1rfmx.js +25 -0
  167. package/dist/client/_nuxt/DZ3fVoEH.js +1 -0
  168. package/dist/client/_nuxt/DZ_89pU7.js +1 -0
  169. package/dist/client/_nuxt/DZqG9GXz.js +50 -0
  170. package/dist/client/_nuxt/DbK06e1c.js +21 -0
  171. package/dist/client/_nuxt/Dble9ECP.js +1 -0
  172. package/dist/client/_nuxt/Dch3xQiY.js +699 -0
  173. package/dist/client/_nuxt/DdacRhvC.js +1 -0
  174. package/dist/client/_nuxt/DeVv6D4_.js +1 -0
  175. package/dist/client/_nuxt/Dez-Qvcc.js +544 -0
  176. package/dist/client/_nuxt/DfUNg_8t.js +1 -0
  177. package/dist/client/_nuxt/DfeQjIbs.js +5 -0
  178. package/dist/client/_nuxt/Dg0DGYq4.js +14 -0
  179. package/dist/client/_nuxt/DggdVF2v.js +1 -0
  180. package/dist/client/_nuxt/DhFF74a2.js +1 -0
  181. package/dist/client/_nuxt/DjTlIhuc.js +1 -0
  182. package/dist/client/_nuxt/DmDrTTlz.js +1 -0
  183. package/dist/client/_nuxt/DnLUQrgA.js +1 -0
  184. package/dist/client/_nuxt/DnhXxWz-.js +1 -0
  185. package/dist/client/_nuxt/DouzTJIq.js +1 -0
  186. package/dist/client/_nuxt/DsfXcHUZ.js +29 -0
  187. package/dist/client/_nuxt/DsudziMp.js +16 -0
  188. package/dist/client/_nuxt/Dszwcx0o.js +1 -0
  189. package/dist/client/_nuxt/DvyTQcux.js +1 -0
  190. package/dist/client/_nuxt/Dwo2i-71.js +1 -0
  191. package/dist/client/_nuxt/DwuVtWc2.js +518 -0
  192. package/dist/client/_nuxt/DywwDckn.js +159 -0
  193. package/dist/client/_nuxt/Dz2Ca8U2.js +1 -0
  194. package/dist/client/_nuxt/DzPyIVdT.js +9 -0
  195. package/dist/client/_nuxt/DzwdaLuO.js +223 -0
  196. package/dist/client/_nuxt/Eh5U-gDp.js +52 -0
  197. package/dist/client/_nuxt/I4qd5QHW.js +10 -0
  198. package/dist/client/_nuxt/IHMnEr_d.js +1 -0
  199. package/dist/client/_nuxt/IconCSS.DI_jUTCX.css +1 -0
  200. package/dist/client/_nuxt/KEYLhlmT.js +1 -0
  201. package/dist/client/_nuxt/NL97_oaV.js +1 -0
  202. package/dist/client/_nuxt/PWN5J14X.js +1 -0
  203. package/dist/client/_nuxt/PifQWv0n.js +1 -0
  204. package/dist/client/_nuxt/QZ3jNtnE.js +515 -0
  205. package/dist/client/_nuxt/RCJZWN-0.js +81 -0
  206. package/dist/client/_nuxt/RE3ujT-k.js +1 -0
  207. package/dist/client/_nuxt/RgJsN3zu.js +1 -0
  208. package/dist/client/_nuxt/RqY_Nz63.js +1 -0
  209. package/dist/client/_nuxt/Sfo2eW0G.js +274 -0
  210. package/dist/client/_nuxt/T9ysyp6P.js +62 -0
  211. package/dist/client/_nuxt/TQ9oWRfF.js +1 -0
  212. package/dist/client/_nuxt/UREJT2Bw.js +1 -0
  213. package/dist/client/_nuxt/Urb1RsFe.js +1 -0
  214. package/dist/client/_nuxt/VEmPXi1y.js +1 -0
  215. package/dist/client/_nuxt/WoBtJUue.js +1 -0
  216. package/dist/client/_nuxt/YxQm0tCS.js +1 -0
  217. package/dist/client/_nuxt/ZQC2c-5V.js +9 -0
  218. package/dist/client/_nuxt/beD-FUib.js +1 -0
  219. package/dist/client/_nuxt/bmJ2objS.js +1 -0
  220. package/dist/client/_nuxt/builds/latest.json +1 -1
  221. package/dist/client/_nuxt/builds/meta/daab05e2-db9f-459a-926a-1824e9329bff.json +1 -0
  222. package/dist/client/_nuxt/builds/meta/dev.json +1 -0
  223. package/dist/client/_nuxt/doGI2cFk.js +1 -0
  224. package/dist/client/_nuxt/eJfcURhx.js +1 -0
  225. package/dist/client/_nuxt/entry.CyZsr2dM.css +1 -0
  226. package/dist/client/_nuxt/error-404.BRldFSII.css +1 -0
  227. package/dist/client/_nuxt/error-500.D8yw_IbC.css +1 -0
  228. package/dist/client/_nuxt/hXH8Gyq8.js +1 -0
  229. package/dist/client/_nuxt/i0IPvypD.js +1 -0
  230. package/dist/client/_nuxt/iSbrOpM4.js +1 -0
  231. package/dist/client/_nuxt/j8KhMG0x.js +1 -0
  232. package/dist/client/_nuxt/jaXbsbtS.js +1 -0
  233. package/dist/client/_nuxt/nclm9rTJ.js +266 -0
  234. package/dist/client/_nuxt/o-cPXEvd.js +575 -0
  235. package/dist/client/_nuxt/rS0jd3Ly.js +1 -0
  236. package/dist/client/_nuxt/sMI-pExk.js +1 -0
  237. package/dist/client/_nuxt/sQpxpyUs.js +1 -0
  238. package/dist/client/_nuxt/vMsNTMhM.js +5 -0
  239. package/dist/client/_nuxt/wChcbJ1V.js +37 -0
  240. package/dist/client/_nuxt/wORFcijT.js +32 -0
  241. package/dist/client/_nuxt/wPLeKH6q.js +40 -0
  242. package/dist/client/_nuxt/xPNGhBYe.js +1 -0
  243. package/dist/client/index.html +238 -15
  244. package/dist/module.d.mts +13 -5
  245. package/dist/module.d.ts +13 -5
  246. package/dist/module.json +2 -2
  247. package/dist/module.mjs +195 -128
  248. package/dist/runtime/assets/Inter-400.ttf.base64 +1 -0
  249. package/dist/runtime/assets/Inter-700.ttf.base64 +1 -0
  250. package/dist/runtime/nitro/og-image/bindings/chromium/chrome-launcher.mjs +9 -0
  251. package/dist/runtime/nitro/og-image/bindings/chromium/on-demand.d.ts +2 -0
  252. package/dist/runtime/nitro/og-image/bindings/chromium/on-demand.mjs +36 -0
  253. package/dist/runtime/nitro/og-image/bindings/chromium/playwright.d.ts +2 -0
  254. package/dist/runtime/nitro/og-image/bindings/chromium/playwright.mjs +6 -0
  255. package/dist/runtime/nitro/og-image/bindings/css-inline/node.d.ts +8 -0
  256. package/dist/runtime/nitro/og-image/bindings/css-inline/node.mjs +7 -0
  257. package/dist/runtime/nitro/og-image/bindings/css-inline/wasm-fs.d.ts +8 -0
  258. package/dist/runtime/nitro/og-image/bindings/css-inline/wasm-fs.mjs +8 -0
  259. package/dist/runtime/nitro/og-image/bindings/css-inline/wasm.d.ts +8 -0
  260. package/dist/runtime/nitro/og-image/bindings/css-inline/wasm.mjs +7 -0
  261. package/dist/runtime/{core → nitro/og-image}/bindings/resvg/wasm-fs.mjs +1 -1
  262. package/dist/runtime/nitro/og-image/bindings/resvg/wasm.mjs +5 -0
  263. package/dist/runtime/{core → nitro/og-image}/bindings/satori/wasm-fs.mjs +1 -1
  264. package/dist/runtime/{core → nitro/og-image}/bindings/satori/wasm.mjs +1 -2
  265. package/dist/runtime/nitro/og-image/cache.d.ts +7 -0
  266. package/dist/runtime/{core/cache/htmlPayload.mjs → nitro/og-image/cache.mjs} +4 -1
  267. package/dist/runtime/{core/renderers → nitro/og-image}/chromium/screenshot.mjs +1 -1
  268. package/dist/runtime/nitro/og-image/context.d.ts +5 -0
  269. package/dist/runtime/nitro/og-image/context.mjs +177 -0
  270. package/dist/runtime/nitro/og-image/instances.d.ts +2 -0
  271. package/dist/runtime/nitro/og-image/instances.mjs +10 -0
  272. package/dist/runtime/{core/font/fetch.d.ts → nitro/og-image/satori/font.d.ts} +1 -1
  273. package/dist/runtime/nitro/og-image/satori/font.mjs +33 -0
  274. package/dist/runtime/{core/renderers → nitro/og-image}/satori/instances.d.ts +0 -2
  275. package/dist/runtime/{core/renderers → nitro/og-image}/satori/instances.mjs +2 -11
  276. package/dist/runtime/nitro/og-image/satori/plugins/classes.mjs +17 -0
  277. package/dist/runtime/nitro/og-image/satori/plugins/encoding.mjs +17 -0
  278. package/dist/runtime/nitro/og-image/satori/plugins/imageSrc.mjs +82 -0
  279. package/dist/runtime/{core/renderers/satori/index.mjs → nitro/og-image/satori/renderer.mjs} +28 -15
  280. package/dist/runtime/{core/html/applyEmojis.d.ts → nitro/og-image/satori/transforms/emojis.d.ts} +3 -1
  281. package/dist/runtime/nitro/og-image/satori/transforms/emojis.mjs +3597 -0
  282. package/dist/runtime/{core/html/applyInlineCss.d.ts → nitro/og-image/satori/transforms/inlineCss.d.ts} +1 -1
  283. package/dist/runtime/nitro/og-image/satori/transforms/inlineCss.mjs +41 -0
  284. package/dist/runtime/{core/renderers → nitro/og-image}/satori/vnodes.mjs +9 -7
  285. package/dist/runtime/nitro/og-image/templates/html.d.ts +2 -0
  286. package/dist/runtime/{core/html/devIframeTemplate.mjs → nitro/og-image/templates/html.mjs} +17 -9
  287. package/dist/runtime/nitro/plugins/nuxt-content.mjs +7 -4
  288. package/dist/runtime/nitro/plugins/prerender.d.ts +1 -1
  289. package/dist/runtime/nitro/plugins/prerender.mjs +8 -16
  290. package/dist/runtime/{server/routes/__og-image__ → nitro/routes}/debug.json.d.ts +1 -1
  291. package/dist/runtime/{server/routes/__og-image__ → nitro/routes}/debug.json.mjs +1 -1
  292. package/dist/runtime/{server/routes/__og-image__/font-[name]-[weight].[extension].mjs → nitro/routes/font.mjs} +18 -1
  293. package/dist/runtime/{server/routes/__og-image__ → nitro/routes}/image.mjs +9 -10
  294. package/dist/runtime/nitro/tsconfig.json +3 -0
  295. package/dist/runtime/{cache.d.ts → nitro/util/cache.d.ts} +1 -1
  296. package/dist/runtime/nitro/util/encoding.d.ts +3 -0
  297. package/dist/runtime/nitro/util/encoding.mjs +15 -0
  298. package/dist/runtime/nitro/util/kit.d.ts +6 -0
  299. package/dist/runtime/nitro/util/kit.mjs +32 -0
  300. package/dist/runtime/{components → nuxt/components}/OgImage/OgImage.d.ts +2 -2
  301. package/dist/runtime/{components → nuxt/components}/OgImage/OgImageScreenshot.d.ts +2 -2
  302. package/dist/runtime/nuxt/components/Templates/Community/Frame.vue +64 -0
  303. package/dist/runtime/{components → nuxt/components}/Templates/Community/NuxtSeo.vue +1 -1
  304. package/dist/runtime/{components → nuxt/components}/Templates/Community/UnJs.vue +2 -2
  305. package/dist/runtime/{composables → nuxt/composables}/defineOgImage.d.ts +1 -1
  306. package/dist/runtime/{composables → nuxt/composables}/defineOgImage.mjs +6 -11
  307. package/dist/runtime/{composables → nuxt/composables}/defineOgImageComponent.d.ts +1 -1
  308. package/dist/runtime/{composables → nuxt/composables}/defineOgImageScreenshot.d.ts +1 -1
  309. package/dist/runtime/nuxt/composables/mock.d.ts +0 -0
  310. package/dist/runtime/nuxt/composables/mock.mjs +6 -0
  311. package/dist/runtime/nuxt/plugins/og-image-canonical-urls.server.mjs +14 -5
  312. package/dist/runtime/nuxt/plugins/route-rule-og-image.server.mjs +1 -1
  313. package/dist/runtime/nuxt/utils.d.ts +2 -1
  314. package/dist/runtime/nuxt/utils.mjs +1 -1
  315. package/dist/runtime/{utils.pure.d.ts → pure.d.ts} +1 -0
  316. package/dist/runtime/{utils.pure.mjs → pure.mjs} +12 -3
  317. package/dist/runtime/{utils.d.ts → shared.d.ts} +1 -1
  318. package/dist/runtime/{utils.mjs → shared.mjs} +3 -2
  319. package/dist/runtime/types.d.ts +12 -5
  320. package/dist/types.d.mts +2 -2
  321. package/package.json +78 -53
  322. package/dist/client/_nuxt/IconCSS.b7e5876d.js +0 -1
  323. package/dist/client/_nuxt/IconCSS.f0b56d3e.css +0 -1
  324. package/dist/client/_nuxt/builds/meta/4b44e059-802c-46c9-90d2-f73641cbd674.json +0 -1
  325. package/dist/client/_nuxt/entry.006451f1.js +0 -108
  326. package/dist/client/_nuxt/entry.a30f63d0.css +0 -1
  327. package/dist/client/_nuxt/error-404.b751fa02.css +0 -1
  328. package/dist/client/_nuxt/error-404.d6ff1eb0.js +0 -1
  329. package/dist/client/_nuxt/error-500.3acec475.js +0 -1
  330. package/dist/client/_nuxt/error-500.69009e70.css +0 -1
  331. package/dist/client/_nuxt/vanilla-picker-NKbIFE8h.23409a58.js +0 -8
  332. package/dist/runtime/core/bindings/chromium/node.mjs +0 -33
  333. package/dist/runtime/core/bindings/css-inline/node.d.ts +0 -2
  334. package/dist/runtime/core/bindings/css-inline/node.mjs +0 -2
  335. package/dist/runtime/core/bindings/resvg/wasm.mjs +0 -6
  336. package/dist/runtime/core/cache/emojis.d.ts +0 -1
  337. package/dist/runtime/core/cache/emojis.mjs +0 -5
  338. package/dist/runtime/core/cache/fonts.d.ts +0 -3
  339. package/dist/runtime/core/cache/fonts.mjs +0 -6
  340. package/dist/runtime/core/cache/htmlPayload.d.ts +0 -5
  341. package/dist/runtime/core/cache/prerender.d.ts +0 -2
  342. package/dist/runtime/core/cache/prerender.mjs +0 -5
  343. package/dist/runtime/core/env/assets.d.ts +0 -1
  344. package/dist/runtime/core/env/assets.mjs +0 -11
  345. package/dist/runtime/core/font/fetch.mjs +0 -36
  346. package/dist/runtime/core/html/applyEmojis.mjs +0 -37
  347. package/dist/runtime/core/html/applyInlineCss.mjs +0 -32
  348. package/dist/runtime/core/html/devIframeTemplate.d.ts +0 -2
  349. package/dist/runtime/core/html/fetchIsland.d.ts +0 -3
  350. package/dist/runtime/core/html/fetchIsland.mjs +0 -17
  351. package/dist/runtime/core/options/extract.d.ts +0 -3
  352. package/dist/runtime/core/options/extract.mjs +0 -49
  353. package/dist/runtime/core/options/fetch.d.ts +0 -3
  354. package/dist/runtime/core/options/fetch.mjs +0 -32
  355. package/dist/runtime/core/renderers/satori/plugins/encoding.mjs +0 -8
  356. package/dist/runtime/core/renderers/satori/plugins/imageSrc.mjs +0 -75
  357. package/dist/runtime/core/renderers/satori/plugins/twClasses.mjs +0 -8
  358. package/dist/runtime/core/utils/resolveRendererContext.d.ts +0 -3
  359. package/dist/runtime/core/utils/resolveRendererContext.mjs +0 -97
  360. package/dist/runtime/nitro/utils.d.ts +0 -2
  361. package/dist/runtime/nitro/utils.mjs +0 -16
  362. package/dist/runtime/server/assets/inter-latin-ext-400-normal.woff +0 -0
  363. package/dist/runtime/server/assets/inter-latin-ext-700-normal.woff +0 -0
  364. /package/dist/runtime/{core/bindings/chromium/node.d.ts → nitro/og-image/bindings/chromium/chrome-launcher.d.ts} +0 -0
  365. /package/dist/runtime/{core → nitro/og-image}/bindings/resvg/node.d.ts +0 -0
  366. /package/dist/runtime/{core → nitro/og-image}/bindings/resvg/node.mjs +0 -0
  367. /package/dist/runtime/{core → nitro/og-image}/bindings/resvg/wasm-fs.d.ts +0 -0
  368. /package/dist/runtime/{core → nitro/og-image}/bindings/resvg/wasm.d.ts +0 -0
  369. /package/dist/runtime/{core → nitro/og-image}/bindings/satori/node.d.ts +0 -0
  370. /package/dist/runtime/{core → nitro/og-image}/bindings/satori/node.mjs +0 -0
  371. /package/dist/runtime/{core → nitro/og-image}/bindings/satori/wasm-fs.d.ts +0 -0
  372. /package/dist/runtime/{core → nitro/og-image}/bindings/satori/wasm.d.ts +0 -0
  373. /package/dist/runtime/{core → nitro/og-image}/bindings/sharp/node.d.ts +0 -0
  374. /package/dist/runtime/{core → nitro/og-image}/bindings/sharp/node.mjs +0 -0
  375. /package/dist/runtime/{core/renderers/chromium/index.d.ts → nitro/og-image/chromium/renderer.d.ts} +0 -0
  376. /package/dist/runtime/{core/renderers/chromium/index.mjs → nitro/og-image/chromium/renderer.mjs} +0 -0
  377. /package/dist/runtime/{core/renderers → nitro/og-image}/chromium/screenshot.d.ts +0 -0
  378. /package/dist/runtime/{core/renderers/satori/plugins/emojis.d.ts → nitro/og-image/satori/plugins/classes.d.ts} +0 -0
  379. /package/dist/runtime/{core/renderers/satori/plugins/encoding.d.ts → nitro/og-image/satori/plugins/emojis.d.ts} +0 -0
  380. /package/dist/runtime/{core/renderers → nitro/og-image}/satori/plugins/emojis.mjs +0 -0
  381. /package/dist/runtime/{core/renderers/satori/plugins/flex.d.ts → nitro/og-image/satori/plugins/encoding.d.ts} +0 -0
  382. /package/dist/runtime/{core/renderers/satori/plugins/imageSrc.d.ts → nitro/og-image/satori/plugins/flex.d.ts} +0 -0
  383. /package/dist/runtime/{core/renderers → nitro/og-image}/satori/plugins/flex.mjs +0 -0
  384. /package/dist/runtime/{core/renderers/satori/plugins/twClasses.d.ts → nitro/og-image/satori/plugins/imageSrc.d.ts} +0 -0
  385. /package/dist/runtime/{core/renderers → nitro/og-image}/satori/plugins/unocss.d.ts +0 -0
  386. /package/dist/runtime/{core/renderers → nitro/og-image}/satori/plugins/unocss.mjs +0 -0
  387. /package/dist/runtime/{core/renderers/satori/index.d.ts → nitro/og-image/satori/renderer.d.ts} +0 -0
  388. /package/dist/runtime/{core/renderers → nitro/og-image}/satori/utils.d.ts +0 -0
  389. /package/dist/runtime/{core/renderers → nitro/og-image}/satori/utils.mjs +0 -0
  390. /package/dist/runtime/{core/renderers → nitro/og-image}/satori/vnodes.d.ts +0 -0
  391. /package/dist/runtime/{server/routes/__og-image__/font-[name]-[weight].[extension].d.ts → nitro/routes/font.d.ts} +0 -0
  392. /package/dist/runtime/{server/routes/__og-image__ → nitro/routes}/image.d.ts +0 -0
  393. /package/dist/runtime/{cache.mjs → nitro/util/cache.mjs} +0 -0
  394. /package/dist/runtime/{core/utils → nitro/util}/wasm.d.ts +0 -0
  395. /package/dist/runtime/{core/utils → nitro/util}/wasm.mjs +0 -0
  396. /package/dist/runtime/{components → nuxt/components}/OgImage/OgImage.mjs +0 -0
  397. /package/dist/runtime/{components → nuxt/components}/OgImage/OgImageScreenshot.mjs +0 -0
  398. /package/dist/runtime/{components → nuxt/components}/Templates/Community/BrandedLogo.vue +0 -0
  399. /package/dist/runtime/{components → nuxt/components}/Templates/Community/Nuxt.vue +0 -0
  400. /package/dist/runtime/{components → nuxt/components}/Templates/Community/Pergel.vue +0 -0
  401. /package/dist/runtime/{components → nuxt/components}/Templates/Community/SimpleBlog.vue +0 -0
  402. /package/dist/runtime/{components → nuxt/components}/Templates/Community/Wave.vue +0 -0
  403. /package/dist/runtime/{components → nuxt/components}/Templates/Community/WithEmoji.vue +0 -0
  404. /package/dist/runtime/{composables → nuxt/composables}/defineOgImageComponent.mjs +0 -0
  405. /package/dist/runtime/{composables → nuxt/composables}/defineOgImageScreenshot.mjs +0 -0
@@ -0,0 +1,159 @@
1
+ const e=Object.freeze({displayName:"Less",name:"less",patterns:[{include:"#comment-block"},{include:"#less-namespace-accessors"},{include:"#less-extend"},{include:"#at-rules"},{include:"#less-variable-assignment"},{include:"#property-list"},{include:"#selector"}],repository:{"angle-type":{captures:{1:{name:"keyword.other.unit.less"}},match:"(?i:[-+]?(?:(?:\\d*\\.\\d+(?:[eE](?:[-+]?\\d+))*)|(?:[-+]?\\d+))(deg|grad|rad|turn))\\b",name:"constant.numeric.less"},"at-charset":{begin:"\\s*((@)charset\\b)\\s*",captures:{1:{name:"keyword.control.at-rule.charset.less"},2:{name:"punctuation.definition.keyword.less"}},end:"\\s*((?=;|$))",name:"meta.at-rule.charset.less",patterns:[{include:"#literal-string"}]},"at-counter-style":{begin:"\\s*((@)counter-style\\b)\\s+(?:(?i:\\b(decimal|none)\\b)|(-?(?:[[_a-zA-Z][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*))\\s*(?=\\{|$)",captures:{1:{name:"keyword.control.at-rule.counter-style.less"},2:{name:"punctuation.definition.keyword.less"},3:{name:"invalid.illegal.counter-style-name.less"},4:{name:"entity.other.counter-style-name.css"}},end:"\\s*(\\})",endCaptures:{1:{name:"punctuation.definition.block.begin.less"}},name:"meta.at-rule.counter-style.less",patterns:[{include:"#comment-block"},{include:"#rule-list"}]},"at-custom-media":{begin:"(?=\\s*@custom-media\\b)",end:"\\s*(?=;)",name:"meta.at-rule.custom-media.less",patterns:[{captures:{0:{name:"punctuation.section.property-list.less"}},match:"\\s*;"},{captures:{1:{name:"keyword.control.at-rule.custom-media.less"},2:{name:"punctuation.definition.keyword.less"},3:{name:"support.constant.custom-media.less"}},match:"\\s*((@)custom-media)(?=.*?)"},{include:"#media-query-list"}]},"at-font-face":{begin:"\\s*((@)font-face)\\s*(?=\\{|$)",captures:{1:{name:"keyword.control.at-rule.font-face.less"},2:{name:"punctuation.definition.keyword.less"}},end:"\\s*(\\})",endCaptures:{1:{name:"punctuation.definition.block.end.less"}},name:"meta.at-rule.font-face.less",patterns:[{include:"#comment-block"},{include:"#rule-list"}]},"at-import":{begin:"\\s*((@)import\\b)\\s*",beginCaptures:{1:{name:"keyword.control.at-rule.import.less"},2:{name:"punctuation.definition.keyword.less"}},end:"\\;",endCaptures:{0:{name:"punctuation.terminator.rule.less"}},name:"meta.at-rule.import.less",patterns:[{include:"#url-function"},{include:"#less-variables"},{begin:`(?<=(["'])|(["']\\)))\\s*`,end:"\\s*(?=\\;)",patterns:[{include:"#media-query"}]},{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.group.less",patterns:[{match:"reference|inline|less|css|once|multiple|optional",name:"constant.language.import-directive.less"},{include:"#comma-delimiter"}]},{include:"#literal-string"}]},"at-keyframes":{begin:"\\s*((@)(-webkit-|-moz-|-o-)?keyframes)(?=.*?\\{)",beginCaptures:{1:{name:"keyword.control.at-rule.keyframe.less"},2:{name:"punctuation.definition.keyword.less"},3:{name:"support.type.property-vendor.less"},4:{name:"support.constant.keyframe.less"}},end:"\\s*(\\})",endCaptures:{1:{name:"punctuation.definition.block.end.less"}},patterns:[{begin:"\\s*(\\{)",beginCaptures:{1:{name:"punctuation.definition.block.begin.less"}},end:"(?=\\})",patterns:[{captures:{1:{name:"keyword.other.keyframe-selector.less"},2:{name:"constant.numeric.less"},3:{name:"keyword.other.unit.less"}},match:"\\s*(?:(from|to)|((?:\\.[0-9]+|[0-9]+(?:\\.[0-9]*)?)(%)))\\s*,?\\s*"},{include:"$self"}]},{begin:"\\s*(?=[^{;])",end:"\\s*(?=\\{)",name:"meta.at-rule.keyframe.less",patterns:[{include:"#keyframe-name"}]}]},"at-media":{begin:"(?=\\s*@media\\b)",end:"\\s*(\\})",endCaptures:{1:{name:"punctuation.definition.block.end.less"}},patterns:[{begin:"\\s*((@)media)",beginCaptures:{1:{name:"keyword.control.at-rule.media.less"},2:{name:"punctuation.definition.keyword.less"},3:{name:"support.constant.media.less"}},end:"\\s*(?=\\{)",name:"meta.at-rule.media.less",patterns:[{include:"#media-query-list"}]},{begin:"\\s*(\\{)",beginCaptures:{1:{name:"punctuation.definition.block.begin.less"}},end:"(?=\\})",patterns:[{include:"#rule-list-body"},{include:"$self"}]}]},"at-namespace":{begin:"\\s*((@)namespace)\\s+",beginCaptures:{1:{name:"keyword.control.at-rule.namespace.less"},2:{name:"punctuation.definition.keyword.less"}},end:"\\;",endCaptures:{0:{name:"punctuation.terminator.rule.less"}},name:"meta.at-rule.namespace.less",patterns:[{include:"#url-function"},{include:"#literal-string"},{match:"(-?(?:[[_a-zA-Z][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*)",name:"entity.name.constant.namespace-prefix.less"}]},"at-page":{captures:{1:{name:"keyword.control.at-rule.page.less"},2:{name:"punctuation.definition.keyword.less"},3:{name:"punctuation.definition.entity.less"},4:{name:"entity.other.attribute-name.pseudo-class.less"}},match:"\\s*((@)page)\\s*(?:(:)(first|left|right))?\\s*(?=\\{|$)",name:"meta.at-rule.page.less",patterns:[{include:"#comment-block"},{include:"#rule-list"}]},"at-rules":{patterns:[{include:"#at-charset"},{include:"#at-counter-style"},{include:"#at-custom-media"},{include:"#at-font-face"},{include:"#at-media"},{include:"#at-import"},{include:"#at-keyframes"},{include:"#at-namespace"},{include:"#at-page"},{include:"#at-supports"},{include:"#at-viewport"}]},"at-supports":{begin:"(?=\\s*@supports\\b)",end:"(?=\\s*)(\\})",endCaptures:{1:{name:"punctuation.definition.block.end.less"}},patterns:[{begin:"\\s*((@)supports)",beginCaptures:{1:{name:"keyword.control.at-rule.supports.less"},2:{name:"punctuation.definition.keyword.less"},3:{name:"support.constant.supports.less"}},end:"\\s*(?=\\{)",name:"meta.at-rule.supports.less",patterns:[{include:"#at-supports-operators"},{include:"#at-supports-parens"}]},{begin:"\\s*(\\{)",beginCaptures:{1:{name:"punctuation.section.property-list.begin.less"}},end:"(?=\\})",patterns:[{include:"#rule-list-body"},{include:"$self"}]}]},"at-supports-operators":{match:"\\b(?:and|or|not)\\b",name:"keyword.operator.logic.less"},"at-supports-parens":{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.group.less",patterns:[{include:"#at-supports-operators"},{include:"#at-supports-parens"},{include:"#rule-list-body"}]},"at-viewport":{begin:"((@(-ms-)?)viewport)",beginCaptures:{1:{name:"keyword.control.at-rule.viewport.less"},2:{name:"punctuation.definition.keyword.less"},3:{name:"support.type.vendor-prefix.less"}},end:"\\}",endCaptures:{0:{name:"punctuation.definition.block.end.less"}},name:"meta.at-rule.viewport.less",patterns:[{begin:"\\{",captures:{0:{name:"punctuation.definition.block.begin.less"}},end:"(?=\\})",name:"meta.block.less",patterns:[{include:"#rule-list-body"}]}]},"attr-function":{begin:"\\b(attr)(?=\\()",beginCaptures:{1:{name:"support.function.filter.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#qualified-name"},{include:"#literal-string"},{begin:"(-?(?:[[_a-zA-Z][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*)",end:"(?=\\))",name:"entity.other.attribute-name.less",patterns:[{match:"(?x)\\b((?i:em|ex|ch|rem)|(?i:vw|vh|vmin|vmax)|(?i:cm|mm|q|in|pt|pc|px|fr)|(?i:deg|grad|rad|turn)|(?i:s|ms)|(?i:Hz|kHz)|(?i:dpi|dpcm|dppx))\\b",name:"keyword.other.unit.less"},{include:"#comma-delimiter"},{include:"#property-value-constants"},{include:"#numeric-values"}]},{include:"#color-values"}]}]},"builtin-functions":{patterns:[{include:"#attr-function"},{include:"#calc-function"},{include:"#color-functions"},{include:"#counter-functions"},{include:"#cross-fade-function"},{include:"#cubic-bezier-function"},{include:"#filter-function"},{include:"#format-function"},{include:"#gradient-functions"},{include:"#grid-repeat-function"},{include:"#image-function"},{include:"#less-functions"},{include:"#local-function"},{include:"#minmax-function"},{include:"#regexp-function"},{include:"#shape-functions"},{include:"#steps-function"},{include:"#symbols-function"},{include:"#transform-functions"},{include:"#url-function"},{include:"#var-function"}]},"calc-function":{begin:"\\b(calc)(?=\\()",beginCaptures:{1:{name:"support.function.calc.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-strings"},{include:"#var-function"},{include:"#calc-function"},{include:"#attr-function"},{include:"#less-math"}]}]},"color-adjuster-operators":{match:"[\\-\\+*](?=\\s+)",name:"keyword.operator.less"},"color-functions":{patterns:[{begin:"\\b(rgba?)(?=\\()",beginCaptures:{1:{name:"support.function.color.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-strings"},{include:"#less-variables"},{include:"#comma-delimiter"},{include:"#percentage-type"},{include:"#number-type"}]}]},{begin:"\\b(hs(l|v)a?|hwb)(?=\\()",beginCaptures:{1:{name:"support.function.color.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-strings"},{include:"#less-variables"},{include:"#comma-delimiter"},{include:"#angle-type"},{include:"#percentage-type"},{include:"#number-type"}]}]},{include:"#less-color-functions"}]},"color-values":{patterns:[{include:"#color-functions"},{include:"#less-functions"},{include:"#less-variables"},{match:"\\b(aqua|black|blue|fuchsia|gray|green|lime|maroon|navy|olive|orange|purple|red|silver|teal|white|yellow)\\b",name:"support.constant.color.w3c-standard-color-name.less"},{match:"\\b(aliceblue|antiquewhite|aquamarine|azure|beige|bisque|blanchedalmond|blueviolet|brown|burlywood|cadetblue|chartreuse|chocolate|coral|cornflowerblue|cornsilk|crimson|cyan|darkblue|darkcyan|darkgoldenrod|darkgray|darkgreen|darkgrey|darkkhaki|darkmagenta|darkolivegreen|darkorange|darkorchid|darkred|darksalmon|darkseagreen|darkslateblue|darkslategray|darkslategrey|darkturquoise|darkviolet|deeppink|deepskyblue|dimgray|dimgrey|dodgerblue|firebrick|floralwhite|forestgreen|gainsboro|ghostwhite|gold|goldenrod|greenyellow|grey|honeydew|hotpink|indianred|indigo|ivory|khaki|lavender|lavenderblush|lawngreen|lemonchiffon|lightblue|lightcoral|lightcyan|lightgoldenrodyellow|lightgray|lightgreen|lightgrey|lightpink|lightsalmon|lightseagreen|lightskyblue|lightslategray|lightslategrey|lightsteelblue|lightyellow|limegreen|linen|magenta|mediumaquamarine|mediumblue|mediumorchid|mediumpurple|mediumseagreen|mediumslateblue|mediumspringgreen|mediumturquoise|mediumvioletred|midnightblue|mintcream|mistyrose|moccasin|navajowhite|oldlace|olivedrab|orangered|orchid|palegoldenrod|palegreen|paleturquoise|palevioletred|papayawhip|peachpuff|peru|pink|plum|powderblue|rebeccapurple|rosybrown|royalblue|saddlebrown|salmon|sandybrown|seagreen|seashell|sienna|skyblue|slateblue|slategray|slategrey|snow|springgreen|steelblue|tan|thistle|tomato|turquoise|violet|wheat|whitesmoke|yellowgreen)\\b",name:"support.constant.color.w3c-extended-color-keywords.less"},{match:"\\b((?i)currentColor|transparent)\\b",name:"support.constant.color.w3c-special-color-keyword.less"},{captures:{1:{name:"punctuation.definition.constant.less"}},match:"(#)(\\h{3}|\\h{4}|\\h{6}|\\h{8})\\b",name:"constant.other.color.rgb-value.less"}]},"comma-delimiter":{captures:{1:{name:"punctuation.separator.less"}},match:"\\s*(,)\\s*"},"comment-block":{patterns:[{begin:"/\\*",captures:{0:{name:"punctuation.definition.comment.less"}},end:"\\*/",name:"comment.block.less"},{include:"#comment-line"}]},"comment-line":{captures:{1:{name:"punctuation.definition.comment.less"}},match:"(//).*$\\n?",name:"comment.line.double-slash.less"},"counter-functions":{patterns:[{begin:"\\b(counter)(?=\\()",beginCaptures:{1:{name:"support.function.filter.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-strings"},{include:"#less-variables"},{match:"(?:--(?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))+|-?(?:[[_a-zA-Z][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*)",name:"entity.other.counter-name.less"},{begin:"(?=,)",end:"(?=\\))",patterns:[{include:"#comma-delimiter"},{match:"\\b((?xi:arabic-indic|armenian|bengali|cambodian|circle|cjk-decimal|cjk-earthly-branch|cjk-heavenly-stem|decimal-leading-zero|decimal|devanagari|disclosure-closed|disclosure-open|disc|ethiopic-numeric|georgian|gujarati|gurmukhi|hebrew|hiragana-iroha|hiragana|japanese-formal|japanese-informal|kannada|katakana-iroha|katakana|khmer|korean-hangul-formal|korean-hanja-formal|korean-hanja-informal|lao|lower-alpha|lower-armenian|lower-greek|lower-latin|lower-roman|malayalam|mongolian|myanmar|oriya|persian|simp-chinese-formal|simp-chinese-informal|square|tamil|telugu|thai|tibetan|trad-chinese-formal|trad-chinese-informal|upper-alpha|upper-armenian|upper-latin|upper-roman)|none)\\b",name:"support.constant.property-value.counter-style.less"}]}]}]},{begin:"\\b(counters)(?=\\()",beginCaptures:{1:{name:"support.function.filter.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{match:"(-?(?:[[_a-zA-Z][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*)",name:"entity.other.counter-name.less string.unquoted.less"},{begin:"(?=,)",end:"(?=\\))",patterns:[{include:"#less-strings"},{include:"#less-variables"},{include:"#literal-string"},{include:"#comma-delimiter"},{match:"\\b((?xi:arabic-indic|armenian|bengali|cambodian|circle|cjk-decimal|cjk-earthly-branch|cjk-heavenly-stem|decimal-leading-zero|decimal|devanagari|disclosure-closed|disclosure-open|disc|ethiopic-numeric|georgian|gujarati|gurmukhi|hebrew|hiragana-iroha|hiragana|japanese-formal|japanese-informal|kannada|katakana-iroha|katakana|khmer|korean-hangul-formal|korean-hanja-formal|korean-hanja-informal|lao|lower-alpha|lower-armenian|lower-greek|lower-latin|lower-roman|malayalam|mongolian|myanmar|oriya|persian|simp-chinese-formal|simp-chinese-informal|square|tamil|telugu|thai|tibetan|trad-chinese-formal|trad-chinese-informal|upper-alpha|upper-armenian|upper-latin|upper-roman)|none)\\b",name:"support.constant.property-value.counter-style.less"}]}]}]}]},"cross-fade-function":{patterns:[{begin:"\\b(cross-fade)(?=\\()",beginCaptures:{1:{name:"support.function.image.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#comma-delimiter"},{include:"#percentage-type"},{include:"#color-values"},{include:"#image-type"},{include:"#literal-string"},{include:"#unquoted-string"}]}]}]},"cubic-bezier-function":{begin:"\\b(cubic-bezier)(?=\\()",beginCaptures:{0:{name:"support.function.timing.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#comma-delimiter"},{include:"#number-type"}]}]},"custom-property-name":{captures:{1:{name:"punctuation.definition.custom-property.less"},2:{name:"support.type.custom-property.name.less"}},match:"\\s*(--)((?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))+)",name:"support.type.custom-property.less"},dimensions:{patterns:[{include:"#angle-type"},{include:"#frequency-type"},{include:"#length-type"},{include:"#resolution-type"},{include:"#time-type"}]},"filter-function":{begin:"\\b(filter)(?=\\()",beginCaptures:{1:{name:"support.function.filter.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",name:"meta.group.less",patterns:[{include:"#comma-delimiter"},{include:"#image-type"},{include:"#literal-string"},{include:"#filter-functions"}]}]},"filter-functions":{patterns:[{include:"#less-functions"},{begin:"\\b(blur)(?=\\()",beginCaptures:{1:{name:"support.function.filter.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#length-type"}]}]},{begin:"\\b(brightness|contrast|grayscale|invert|opacity|saturate|sepia)(?=\\()",beginCaptures:{1:{name:"support.function.filter.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#percentage-type"},{include:"#number-type"},{include:"#less-functions"}]}]},{begin:"\\b(drop-shadow)(?=\\()",beginCaptures:{1:{name:"support.function.filter.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#length-type"},{include:"#color-values"}]}]},{begin:"\\b(hue-rotate)(?=\\()",beginCaptures:{1:{name:"support.function.filter.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#angle-type"}]}]}]},"format-function":{patterns:[{begin:"\\b(format)(?=\\()",beginCaptures:{0:{name:"support.function.format.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#literal-string"}]}]}]},"frequency-type":{captures:{1:{name:"keyword.other.unit.less"}},match:"(?i:[-+]?(?:(?:\\d*\\.\\d+(?:[eE](?:[-+]?\\d+))*)|(?:[-+]?\\d+))(Hz|kHz))\\b",name:"constant.numeric.less"},"gradient-functions":{patterns:[{begin:"\\b((?:repeating-)?linear-gradient)(?=\\()",beginCaptures:{1:{name:"support.function.gradient.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"},{include:"#angle-type"},{include:"#color-values"},{include:"#percentage-type"},{include:"#length-type"},{include:"#comma-delimiter"},{match:"\\bto\\b",name:"keyword.other.less"},{match:"\\b(top|right|bottom|left)\\b",name:"support.constant.property-value.less"}]}]},{begin:"\\b((?:repeating-)?radial-gradient)(?=\\()",beginCaptures:{1:{name:"support.function.gradient.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"},{include:"#color-values"},{include:"#percentage-type"},{include:"#length-type"},{include:"#comma-delimiter"},{match:"\\b(at|circle|ellipse)\\b",name:"keyword.other.less"},{match:"\\b(top|right|bottom|left|center|(farthest|closest)-(corner|side))\\b",name:"support.constant.property-value.less"}]}]}]},"grid-repeat-function":{begin:"\\b(repeat)(?=\\()",beginCaptures:{1:{name:"support.function.grid.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#comma-delimiter"},{include:"#var-function"},{include:"#length-type"},{include:"#percentage-type"},{include:"#minmax-function"},{include:"#integer-type"},{match:"\\b(auto-(fill|fit))\\b",name:"support.keyword.repetitions.less"},{match:"\\b(((max|min)-content)|auto)\\b",name:"support.constant.property-value.less"}]}]},"image-function":{begin:"\\b(image)(?=\\()",beginCaptures:{1:{name:"support.function.image.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#image-type"},{include:"#literal-string"},{include:"#color-values"},{include:"#comma-delimiter"},{include:"#unquoted-string"}]}]},"image-type":{patterns:[{include:"#cross-fade-function"},{include:"#gradient-functions"},{include:"#image-function"},{include:"#url-function"}]},"integer-type":{match:"(?:[-+]?\\d+)",name:"constant.numeric.less"},"keyframe-name":{begin:"\\s*(-?(?:[_a-z]|[^\\x{00}-\\x{7F}]|(?:(:?\\\\[0-9a-f]{1,6}(\\r\\n|[\\s\\t\\r\\n\\f])?)|\\\\[^\\r\\n\\f0-9a-f]))(?:[_a-z0-9-]|[^\\x{00}-\\x{7F}]|(?:(:?\\\\[0-9a-f]{1,6}(\\r\\n|[\\t\\r\\n\\f])?)|\\\\[^\\r\\n\\f0-9a-f]))*)?",beginCaptures:{1:{name:"variable.other.constant.animation-name.less"}},end:"\\s*(?:(,)|(?=[{;]))",endCaptures:{1:{name:"punctuation.definition.arbitrary-repetition.less"}}},"length-type":{captures:{1:{name:"keyword.other.unit.less"}},match:"0|(?i:[-+]?(?:(?:\\d*\\.\\d+(?:[eE](?:[-+]?\\d+))*)|(?:[-+]?\\d+))(em|ex|ch|rem|vw|vh|vmin|vmax|(c|m)?m|q|in|pt|pc|px|fr))\\b",name:"constant.numeric.less"},"less-boolean-function":{begin:"\\b(boolean)(?=\\()",beginCaptures:{1:{name:"support.function.boolean.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-logical-comparisons"}]}]},"less-color-blend-functions":{patterns:[{begin:"\\b(multiply|screen|overlay|(soft|hard)light|difference|exclusion|negation|average)(?=\\()",beginCaptures:{1:{name:"support.function.color-blend.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"},{include:"#comma-delimiter"},{include:"#color-values"}]}]}]},"less-color-channel-functions":{patterns:[{begin:"\\b(hue|saturation|lightness|hsv(hue|saturation|value)|red|green|blue|alpha|luma|luminance)(?=\\()",beginCaptures:{1:{name:"support.function.color-definition.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#color-values"}]}]}]},"less-color-definition-functions":{patterns:[{begin:"\\b(argb)(?=\\()",beginCaptures:{1:{name:"support.function.color-definition.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"},{include:"#color-values"}]}]}]},"less-color-functions":{patterns:[{include:"#less-color-blend-functions"},{include:"#less-color-channel-functions"},{include:"#less-color-definition-functions"},{include:"#less-color-operation-functions"}]},"less-color-operation-functions":{patterns:[{begin:"\\b(fade|shade|tint)(?=\\()",beginCaptures:{1:{name:"support.function.color-operation.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#color-values"},{include:"#comma-delimiter"},{include:"#percentage-type"}]}]},{begin:"\\b(spin)(?=\\()",beginCaptures:{1:{name:"support.function.color-operation.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#color-values"},{include:"#comma-delimiter"},{include:"#number-type"}]}]},{begin:"\\b(((de)?saturate)|((light|dark)en)|(fade(in|out)))(?=\\()",beginCaptures:{1:{name:"support.function.color-operation.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#color-values"},{include:"#comma-delimiter"},{include:"#percentage-type"},{match:"\\brelative\\b",name:"constant.language.relative.less"}]}]},{begin:"\\b(contrast)(?=\\()",beginCaptures:{1:{name:"support.function.color-operation.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#color-values"},{include:"#comma-delimiter"},{include:"#percentage-type"}]}]},{begin:"\\b(greyscale)(?=\\()",beginCaptures:{1:{name:"support.function.color-operation.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#color-values"}]}]},{begin:"\\b(mix)(?=\\()",beginCaptures:{1:{name:"support.function.color-operation.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#color-values"},{include:"#comma-delimiter"},{include:"#less-math"},{include:"#percentage-type"}]}]}]},"less-extend":{begin:"(:)(extend)(?=\\()",beginCaptures:{1:{name:"punctuation.definition.entity.less"},2:{name:"entity.other.attribute-name.pseudo-class.extend.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{match:"\\ball\\b",name:"constant.language.all.less"},{include:"#selectors"}]}]},"less-functions":{patterns:[{include:"#less-boolean-function"},{include:"#less-color-functions"},{include:"#less-if-function"},{include:"#less-list-functions"},{include:"#less-math-functions"},{include:"#less-misc-functions"},{include:"#less-string-functions"},{include:"#less-type-functions"}]},"less-if-function":{begin:"\\b(if)(?=\\()",beginCaptures:{1:{name:"support.function.if.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-mixin-guards"},{include:"#comma-delimiter"},{include:"#property-values"}]}]},"less-list-functions":{patterns:[{begin:"\\b(length)(?=\\()\\b",beginCaptures:{1:{name:"support.function.length.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#property-values"},{include:"#comma-delimiter"}]}]},{begin:"\\b(extract)(?=\\()\\b",beginCaptures:{1:{name:"support.function.extract.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#property-values"},{include:"#comma-delimiter"},{include:"#integer-type"}]}]},{begin:"\\b(range)(?=\\()\\b",beginCaptures:{1:{name:"support.function.range.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#property-values"},{include:"#comma-delimiter"},{include:"#integer-type"}]}]}]},"less-logical-comparisons":{patterns:[{captures:{1:{name:"keyword.operator.logical.less"}},match:"\\s*(=|((<|>)=?))\\s*"},{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.group.less",patterns:[{include:"#less-logical-comparisons"}]},{match:"\\btrue|false\\b",name:"constant.language.less"},{match:",",name:"punctuation.separator.less"},{include:"#property-values"},{include:"#selectors"},{include:"#unquoted-string"}]},"less-math":{patterns:[{match:"[-\\+\\*\\/]",name:"keyword.operator.arithmetic.less"},{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.group.less",patterns:[{include:"#less-math"}]},{include:"#numeric-values"},{include:"#less-variables"}]},"less-math-functions":{patterns:[{begin:"\\b(ceil|floor|percentage|round|sqrt|abs|a?(sin|cos|tan))(?=\\()",beginCaptures:{1:{name:"support.function.math.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"},{include:"#numeric-values"}]}]},{captures:{2:{name:"support.function.math.less"},3:{name:"punctuation.definition.group.begin.less"},4:{name:"punctuation.definition.group.end.less"}},match:"((pi)(\\()(\\)))",name:"meta.function-call.less"},{begin:"\\b(pow|m(od|in|ax))(?=\\()",beginCaptures:{1:{name:"support.function.math.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"},{include:"#numeric-values"},{include:"#comma-delimiter"}]}]}]},"less-misc-functions":{patterns:[{begin:"\\b(color)(?=\\()",beginCaptures:{1:{name:"support.function.color.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#literal-string"}]}]},{begin:"\\b(image-(size|width|height))(?=\\()",beginCaptures:{1:{name:"support.function.image.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#literal-string"},{include:"#unquoted-string"}]}]},{begin:"\\b(convert|unit)(?=\\()",beginCaptures:{1:{name:"support.function.convert.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"},{include:"#dimensions"},{include:"#numeric-values"},{include:"#literal-string"},{include:"#comma-delimiter"},{match:"((c|m)?m|in|p(t|c|x)|m?s|g?rad|deg|turn|%|r?em|ex|ch)",name:"keyword.other.unit.less"}]}]},{begin:"\\b(data-uri)(?=\\()",beginCaptures:{1:{name:"support.function.data-uri.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"},{include:"#literal-string"},{captures:{1:{name:"punctuation.separator.less"}},match:"\\s*(?:(,))"}]}]},{captures:{2:{name:"punctuation.definition.group.begin.less"},3:{name:"punctuation.definition.group.end.less"}},match:"\\b(default(\\()(\\)))\\b",name:"support.function.default.less"},{begin:"\\b(get-unit)(?=\\()",beginCaptures:{1:{name:"support.function.get-unit.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#dimensions"}]}]},{begin:"\\b(svg-gradient)(?=\\()",beginCaptures:{1:{name:"support.function.svg-gradient.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#angle-type"},{include:"#comma-delimiter"},{include:"#color-values"},{include:"#percentage-type"},{include:"#length-type"},{match:"\\bto\\b",name:"keyword.other.less"},{match:"\\b(top|right|bottom|left|center)\\b",name:"support.constant.property-value.less"},{match:"\\b(at|circle|ellipse)\\b",name:"keyword.other.less"}]}]}]},"less-mixin-guards":{patterns:[{begin:"\\s*(and|not|or)?\\s*(?=\\()",beginCaptures:{1:{name:"keyword.operator.logical.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",name:"meta.group.less",patterns:[{include:"#less-variable-comparison"},{captures:{1:{name:"meta.group.less"},2:{name:"punctuation.definition.group.begin.less"},3:{name:"punctuation.definition.group.end.less"}},match:"default((\\()(\\)))",name:"support.function.default.less"},{include:"#property-values"},{include:"#less-logical-comparisons"},{include:"$self"}]}]}]},"less-namespace-accessors":{patterns:[{begin:"(?=\\s*when\\b)",end:"\\s*(?:(,)|(?=[{;]))",endCaptures:{1:{name:"punctuation.definition.block.end.less"}},name:"meta.conditional.guarded-namespace.less",patterns:[{captures:{1:{name:"keyword.control.conditional.less"},2:{name:"punctuation.definition.keyword.less"}},match:"\\s*(when)(?=.*?)"},{include:"#less-mixin-guards"},{include:"#comma-delimiter"},{begin:"\\s*(\\{)",beginCaptures:{1:{name:"punctuation.section.property-list.begin.less"}},end:"(?=\\})",name:"meta.block.less",patterns:[{include:"#rule-list-body"}]},{include:"#selectors"}]},{begin:"(\\()",beginCaptures:{1:{name:"punctuation.definition.group.begin.less"}},end:"(\\))",endCaptures:{1:{name:"punctuation.definition.group.end.less"},2:{name:"punctuation.terminator.rule.less"}},name:"meta.group.less",patterns:[{include:"#less-variable-assignment"},{include:"#comma-delimiter"},{captures:{1:{name:"punctuation.terminator.rule.less"}},match:"\\s*(;)|(?=[})])"},{include:"#property-values"},{include:"#rule-list-body"}]}]},"less-number-units":{patterns:[{match:"\\b((c|m)?m|in|p(t|c)|m?s|g?rad|deg|turn)\\b",name:"keyword.other.unit.less"},{match:"\\b(r?em|ex|ch|vw|vh|vmin|vmax|cm|mm|q|in|pt|pc|px|fr|s|ms|Hz|kHz|dpi|dpcm|dppx|deg|grad|rad|turn)\\b"}]},"less-string-functions":{patterns:[{begin:"\\b(e(scape)?)(?=\\()\\b",beginCaptures:{1:{name:"support.function.escape.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"},{include:"#comma-delimiter"},{include:"#literal-string"},{include:"#unquoted-string"}]}]},{begin:"\\s*(%)(?=\\()\\s*",beginCaptures:{1:{name:"support.function.format.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"},{include:"#comma-delimiter"},{include:"#literal-string"},{include:"#property-values"}]}]},{begin:"\\b(replace)(?=\\()\\b",beginCaptures:{1:{name:"support.function.replace.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"},{include:"#comma-delimiter"},{include:"#literal-string"},{include:"#property-values"}]}]}]},"less-strings":{patterns:[{begin:`(~)('|")`,beginCaptures:{1:{name:"constant.character.escape.less"},2:{name:"punctuation.definition.string.begin.less"}},contentName:"markup.raw.inline.less",end:`('|")|(\\n)`,endCaptures:{1:{name:"punctuation.definition.string.end.less"},2:{name:"invalid.illegal.newline.less"}},name:"string.quoted.other.less",patterns:[{include:"#string-content"}]}]},"less-type-functions":{patterns:[{begin:"\\b(is(number|string|color|keyword|url|pixel|em|percentage|ruleset))(?=\\()",beginCaptures:{1:{name:"support.function.type.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#property-values"}]}]},{begin:"\\b(isunit)(?=\\()",beginCaptures:{1:{name:"support.function.type.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#property-values"},{include:"#comma-delimiter"},{match:"(?x)\\b((?i:em|ex|ch|rem)|(?i:vw|vh|vmin|vmax)|(?i:cm|mm|q|in|pt|pc|px|fr)|(?i:deg|grad|rad|turn)|(?i:s|ms)|(?i:Hz|kHz)|(?i:dpi|dpcm|dppx))\\b",name:"keyword.other.unit.less"}]}]},{begin:"\\b(isdefined)(?=\\()",beginCaptures:{1:{name:"support.function.type.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"}]}]}]},"less-variable-assignment":{patterns:[{begin:"(@)(-?(?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*)",beginCaptures:{0:{name:"variable.other.readwrite.less"},1:{name:"punctuation.definition.variable.less"},2:{name:"support.other.variable.less"}},end:"\\s*(;|(\\.{3})|(?=\\)))",endCaptures:{1:{name:"punctuation.terminator.rule.less"},2:{name:"keyword.operator.spread.less"}},name:"meta.property-value.less",patterns:[{captures:{1:{name:"punctuation.separator.key-value.less"},4:{name:"meta.property-value.less"}},match:"(((\\+_?)?):)([\\s\\t]*)"},{include:"#property-values"},{include:"#comma-delimiter"},{include:"#property-list"},{include:"#unquoted-string"}]}]},"less-variable-comparison":{patterns:[{begin:"(@{1,2})([-]?([_a-z]|[^\\x{00}-\\x{7F}]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*)",beginCaptures:{0:{name:"variable.other.readwrite.less"},1:{name:"punctuation.definition.variable.less"},2:{name:"support.other.variable.less"}},end:"\\s*(?=\\))",endCaptures:{1:{name:"punctuation.terminator.rule.less"}},patterns:[{captures:{1:{name:"keyword.operator.logical.less"}},match:"\\s*(=|((<|>)=?))\\s*"},{match:"\\btrue\\b",name:"constant.language.less"},{include:"#property-values"},{include:"#selectors"},{include:"#unquoted-string"},{match:",",name:"punctuation.separator.less"}]}]},"less-variable-interpolation":{captures:{1:{name:"punctuation.definition.variable.less"},2:{name:"punctuation.definition.expression.less"},3:{name:"support.other.variable.less"},4:{name:"punctuation.definition.expression.less"}},match:"(@)(\\{)([-\\w]+)(\\})",name:"variable.other.readwrite.less"},"less-variables":{captures:{1:{name:"punctuation.definition.variable.less"},2:{name:"support.other.variable.less"}},match:"\\s*(@@?)([-\\w]+)",name:"variable.other.readwrite.less"},"literal-string":{patterns:[{begin:"'",beginCaptures:{0:{name:"punctuation.definition.string.begin.less"}},end:"(')|(\\n)",endCaptures:{1:{name:"punctuation.definition.string.end.less"},2:{name:"invalid.illegal.newline.less"}},name:"string.quoted.single.less",patterns:[{include:"#string-content"}]},{begin:'"',beginCaptures:{0:{name:"punctuation.definition.string.begin.less"}},end:'(")|(\\n)',endCaptures:{1:{name:"punctuation.definition.string.end.less"},2:{name:"invalid.illegal.newline.less"}},name:"string.quoted.double.less",patterns:[{include:"#string-content"}]},{include:"#less-strings"}]},"local-function":{begin:"\\b(local)(?=\\()",beginCaptures:{0:{name:"support.function.font-face.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#unquoted-string"}]}]},"media-query":{begin:"\\s*(only|not)?\\s*(all|aural|braille|embossed|handheld|print|projection|screen|tty|tv)?",beginCaptures:{1:{name:"keyword.operator.logic.media.less"},2:{name:"support.constant.media.less"}},end:"\\s*(?:(,)|(?=[{;]))",endCaptures:{1:{name:"punctuation.definition.arbitrary-repetition.less"}},patterns:[{include:"#less-variables"},{include:"#custom-property-name"},{begin:"\\s*(and)?\\s*(\\()\\s*",beginCaptures:{1:{name:"keyword.operator.logic.media.less"},2:{name:"punctuation.definition.group.begin.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.group.less",patterns:[{begin:"((-webkit-|-o-)?((min|max)-)?(-moz-)?(((device-)?(height|width|aspect-ratio|pixel-ratio))|(color(-index)?)|monochrome|resolution))|grid|scan|orientation\\s*(?=[:)])",beginCaptures:{0:{name:"support.type.property-name.media.less"},2:{name:"support.type.vendor-prefix.less"},5:{name:"support.type.vendor-prefix.less"}},end:"(((\\+_?)?):)|(?=\\))",endCaptures:{1:{name:"punctuation.separator.key-value.less"}}},{match:"\\b(portrait|landscape|progressive|interlace)",name:"support.constant.property-value.less"},{captures:{1:{name:"constant.numeric.less"},2:{name:"keyword.operator.arithmetic.less"},3:{name:"constant.numeric.less"}},match:"\\s*(\\d+)(/)(\\d+)"},{include:"#less-math"}]}]},"media-query-list":{begin:"\\s*(?=[^{;])",end:"\\s*(?=[{;])",patterns:[{include:"#media-query"}]},"minmax-function":{begin:"\\b(minmax)(?=\\()",beginCaptures:{1:{name:"support.function.grid.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"},{include:"#length-type"},{include:"#comma-delimiter"},{match:"\\b(max-content|min-content)\\b",name:"support.constant.property-value.less"}]}]},"number-type":{match:"[-+]?(?:(?:\\d*\\.\\d+(?:[eE](?:[-+]?\\d+))*)|(?:[-+]?\\d+))",name:"constant.numeric.less"},"numeric-values":{patterns:[{include:"#dimensions"},{include:"#percentage-type"},{include:"#number-type"}]},"percentage-type":{captures:{1:{name:"keyword.other.unit.less"}},match:"[-+]?(?:(?:\\d*\\.\\d+(?:[eE](?:[-+]?\\d+))*)|(?:[-+]?\\d+))(%)",name:"constant.numeric.less"},"property-list":{patterns:[{begin:"(?=(?=[^;]*)\\{)",end:"\\}",endCaptures:{0:{name:"punctuation.definition.block.end.less"}},patterns:[{include:"#rule-list"}]}]},"property-value-constants":{patterns:[{match:`(?x)\\b(
2
+ absolute|active|add
3
+ |all(-(petite|small)-caps|-scroll)?
4
+ |alpha(betic)?
5
+ |alternate(-reverse)?
6
+ |always|annotation|antialiased|at
7
+ |auto(hiding-scrollbar)?
8
+ |avoid(-column|-page|-region)?
9
+ |background(-color|-image|-position|-size)?
10
+ |backwards|balance|baseline|below|bevel|bicubic|bidi-override|blink
11
+ |block(-line-height)?
12
+ |blur
13
+ |bold(er)?
14
+ |border(-bottom|-left|-right|-top)?-(color|radius|width|style)
15
+ |border-(bottom|top)-(left|right)-radius
16
+ |border-image(-outset|-repeat|-slice|-source|-width)?
17
+ |border(-bottom|-left|-right|-top|-collapse|-spacing|-box)?
18
+ |both|bottom
19
+ |box(-shadow)?
20
+ |break-(all|word)
21
+ |brightness
22
+ |butt(on)?
23
+ |capitalize
24
+ |cent(er|ral)
25
+ |char(acter-variant)?
26
+ |cjk-ideographic|clip|clone|close-quote
27
+ |closest-(corner|side)
28
+ |col-resize|collapse
29
+ |color(-stop|-burn|-dodge)?
30
+ |column((-count|-gap|-reverse|-rule(-color|-width)?|-width)|s)?
31
+ |common-ligatures|condensed|consider-shifts|contain
32
+ |content(-box|s)?
33
+ |contextual|contrast|cover
34
+ |crisp(-e|E)dges
35
+ |crop
36
+ |cross(hair)?
37
+ |da(rken|shed)
38
+ |default|dense|diagonal-fractions|difference|disabled
39
+ |discretionary-ligatures|disregard-shifts
40
+ |distribute(-all-lines|-letter|-space)?
41
+ |dotted|double|drop-shadow
42
+ |(nwse|nesw|ns|ew|sw|se|nw|ne|w|s|e|n)-resize
43
+ |ease(-in-out|-in|-out)?
44
+ |element|ellipsis|embed|end|EndColorStr|evenodd
45
+ |exclu(de(-ruby)?|sion)
46
+ |expanded
47
+ |(extra|semi|ultra)-(condensed|expanded)
48
+ |farthest-(corner|side)?
49
+ |fill(-box|-opacity)?
50
+ |filter|fixed|flat
51
+ |flex((-basis|-end|-grow|-shrink|-start)|box)?
52
+ |flip|flood-color
53
+ |font(-size(-adjust)?|-stretch|-weight)?
54
+ |forwards
55
+ |from(-image)?
56
+ |full-width|geometricPrecision|glyphs|gradient|grayscale
57
+ |grid(-height)?
58
+ |groove|hand|hanging|hard-light|height|help|hidden|hide
59
+ |historical-(forms|ligatures)
60
+ |horizontal(-tb)?
61
+ |hue
62
+ |ideograph(-alpha|-numeric|-parenthesis|-space|ic)
63
+ |inactive|include-ruby|infinite|inherit|initial
64
+ |inline(-block|-box|-flex(box)?|-line-height|-table)?
65
+ |inset|inside
66
+ |inter(-ideograph|-word|sect)
67
+ |invert|isolat(e|ion)|italic
68
+ |jis(04|78|83|90)
69
+ |justify(-all)?
70
+ |keep-all
71
+ |large[r]?
72
+ |last|layout|left|letter-spacing
73
+ |light(e[nr]|ing-color)
74
+ |line(-edge|-height|-through)?
75
+ |linear(-gradient|RGB)?
76
+ |lining-nums|list-item|local|loose|lowercase|lr-tb|ltr
77
+ |lumin(osity|ance)|manual
78
+ |manipulation
79
+ |margin(-bottom|-box|-left|-right|-top)?
80
+ |marker(-offset|s)?
81
+ |mathematical
82
+ |max-(content|height|lines|size|width)
83
+ |medium|middle
84
+ |min-(content|height|width)
85
+ |miter|mixed|move|multiply|newspaper
86
+ |no-(change|clip|(close|open)-quote|(common|discretionary|historical)-ligatures|contextual|drop|repeat)
87
+ |none|nonzero|normal|not-allowed|nowrap|oblique
88
+ |offset(-after|-before|-end|-start)?
89
+ |oldstyle-nums|opacity|open-quote
90
+ |optimize(Legibility|Precision|Quality|Speed)
91
+ |order|ordinal|ornaments
92
+ |outline(-color|-offset|-width)?
93
+ |outset|outside|over(line|-edge|lay)
94
+ |padding(-bottom|-box|-left|-right|-top|-box)?
95
+ |page|painted|paused
96
+ |pan-(x|left|right|y|up|down)
97
+ |perspective-origin
98
+ |petite-caps|pixelated|pointer
99
+ |pinch-zoom
100
+ |pre(-line|-wrap)?
101
+ |preserve-3d
102
+ |progid:DXImageTransform.Microsoft.(Alpha|Blur|dropshadow|gradient|Shadow)
103
+ |progress
104
+ |proportional-(nums|width)
105
+ |radial-gradient|recto|region|relative
106
+ |repeat(-[xy])?
107
+ |repeating-(linear|radial)-gradient
108
+ |replaced|reset-size|reverse|ridge|right
109
+ |round
110
+ |row(-resize|-reverse)?
111
+ |rtl|ruby|running|saturat(e|ion)|screen
112
+ |scroll(-position|bar)?
113
+ |separate|sepia
114
+ |scale-down
115
+ |shape-(image-threshold|margin|outside)
116
+ |show
117
+ |sideways(-lr|-rl)?
118
+ |simplified
119
+ |size
120
+ |slashed-zero|slice
121
+ |small(-caps|er)?
122
+ |smooth|snap|solid|soft-light
123
+ |space(-around|-between)?
124
+ |span|sRGB
125
+ |stack(ed-fractions)?
126
+ |start(ColorStr)?
127
+ |static
128
+ |step-(end|start)
129
+ |sticky
130
+ |stop-(color|opacity)
131
+ |stretch|strict
132
+ |stroke(-box|-dash(array|offset)|-miterlimit|-opacity|-width)?
133
+ |style(set)?
134
+ |stylistic
135
+ |sub(grid|pixel-antialiased|tract)?
136
+ |super|swash
137
+ |table(-caption|-cell|(-column|-footer|-header|-row)-group|-column|-row)?
138
+ |tabular-nums|tb-rl
139
+ |text((-bottom|-(decoration|emphasis)-color|-indent|-(over|under)-edge|-shadow|-size(-adjust)?|-top)|field)?
140
+ |thi(ck|n)
141
+ |titling-ca(ps|se)
142
+ |to[p]?
143
+ |touch|traditional
144
+ |transform(-origin)?
145
+ |under(-edge|line)?
146
+ |unicase|unset|uppercase|upright
147
+ |use-(glyph-orientation|script)
148
+ |verso
149
+ |vertical(-align|-ideographic|-lr|-rl|-text)?
150
+ |view-box
151
+ |viewport-fill(-opacity)?
152
+ |visibility
153
+ |visible(Fill|Painted|Stroke)?
154
+ |wait|wavy|weight|whitespace|(device-)?width|word-spacing
155
+ |wrap(-reverse)?
156
+ |x{1,2}-(large|small)
157
+ |z-index|zero
158
+ |zoom(-in|-out)?
159
+ |((?xi:arabic-indic|armenian|bengali|cambodian|circle|cjk-decimal|cjk-earthly-branch|cjk-heavenly-stem|decimal-leading-zero|decimal|devanagari|disclosure-closed|disclosure-open|disc|ethiopic-numeric|georgian|gujarati|gurmukhi|hebrew|hiragana-iroha|hiragana|japanese-formal|japanese-informal|kannada|katakana-iroha|katakana|khmer|korean-hangul-formal|korean-hanja-formal|korean-hanja-informal|lao|lower-alpha|lower-armenian|lower-greek|lower-latin|lower-roman|malayalam|mongolian|myanmar|oriya|persian|simp-chinese-formal|simp-chinese-informal|square|tamil|telugu|thai|tibetan|trad-chinese-formal|trad-chinese-informal|upper-alpha|upper-armenian|upper-latin|upper-roman)))\\b`,name:"support.constant.property-value.less"},{match:"\\b(?i:sans-serif|serif|monospace|fantasy|cursive)\\b(?=\\s*[;,\\n}])",name:"support.constant.font-name.less"}]},"property-values":{patterns:[{include:"#comment-block"},{include:"#vendor-prefix"},{include:"#builtin-functions"},{include:"#color-functions"},{include:"#less-math"},{include:"#less-functions"},{include:"#less-variables"},{include:"#unicode-range"},{include:"#numeric-values"},{include:"#color-values"},{include:"#property-value-constants"},{include:"#literal-string"},{captures:{1:{name:"punctuation.separator.less"}},match:"(\\!)\\s*important",name:"keyword.other.important.less"}]},"pseudo-classes":{patterns:[{begin:"(:)(dir|lang)(?=\\()",captures:{1:{name:"punctuation.definition.entity.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"entity.other.attribute-name.pseudo-class.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#unquoted-string"}]}]},{begin:"(:)(not)(?=\\()",captures:{1:{name:"punctuation.definition.entity.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"entity.other.attribute-name.pseudo-class.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#selectors"}]}]},{begin:"(:)(nth(-last)?-(child|of-type))(?=\\()",captures:{1:{name:"punctuation.definition.entity.less"},2:{name:"entity.other.attribute-name.pseudo-class.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",name:"meta.group.less",patterns:[{match:"\\b(even|odd)\\b",name:"keyword.other.pseudo-class.less"},{captures:{1:{name:"keyword.other.unit.less"}},match:"(?:[-+]?(?:\\d+)?(n)(\\s*[-+]\\s*\\d+)?|[-+]?\\s*\\d+)",name:"constant.numeric.less"},{include:"#less-math"},{include:"#less-strings"},{include:"#less-variable-interpolation"}]}]},{begin:"(:)(host-context)(?=\\()",captures:{1:{name:"punctuation.definition.entity.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"entity.other.attribute-name.pseudo-class.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#selectors"}]}]},{captures:{1:{name:"punctuation.definition.entity.less"},2:{name:"entity.other.attribute-name.pseudo-class.less"}},match:"(:)(active|any|checked|default|disabled|empty|enabled|first(-(child|of-type))?|fullscreen|focus|host|hover|indeterminate|in-range|invalid|last-(child|of-type)|left|link|only-(child|of-type)|optional|out-of-range|read-(only|write)|required|right|root|scope|target|valid|visited)",name:"meta.function-call.less"}]},"pseudo-elements":{patterns:[{begin:"(::)(slotted)(?=\\()",captures:{1:{name:"punctuation.definition.entity.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"entity.other.attribute-name.pseudo-class.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#selectors"}]}]},{captures:{1:{name:"punctuation.definition.entity.less"},2:{name:"punctuation.definition.entity.less"},3:{name:"support.type.vendor-prefix.less"}},match:"(?:(:{1,2})(?:before|after|first-line|first-letter)|(::)(-(?:moz|ms|webkit)-)?(?:(-?(?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*)))\\b",name:"entity.other.attribute-name.pseudo-element.less"}]},"qualified-name":{captures:{1:{name:"entity.name.constant.less"},2:{name:"entity.name.namespace.wildcard.less"},3:{name:"punctuation.separator.namespace.less"}},match:"(?:(-?(?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[_a-zA-Z][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*)|(\\*))?([|])(?!=)"},"regexp-function":{begin:"\\b(regexp)(?=\\()",end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"support.function.regexp.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",name:"meta.function-call.less",patterns:[{include:"#literal-string"}]}]},"resolution-type":{captures:{1:{name:"keyword.other.unit.less"}},match:"(?i:[-+]?(?:(?:\\d*\\.\\d+(?:[eE](?:[-+]?\\d+))*)|(?:[-+]?\\d+))(dpi|dpcm|dppx))\\b",name:"constant.numeric.less"},"rule-list":{patterns:[{begin:"\\{",beginCaptures:{0:{name:"punctuation.definition.block.begin.less"}},end:"(?=\\s*\\})",name:"meta.property-list.less",patterns:[{captures:{1:{name:"punctuation.terminator.rule.less"}},match:"\\s*(;)|(?=[})])"},{include:"#rule-list-body"},{include:"#less-extend"}]}]},"rule-list-body":{patterns:[{include:"#comment-block"},{include:"#comment-line"},{include:"#at-rules"},{include:"#less-variable-assignment"},{include:"#less-variable-interpolation"},{begin:"(?=[-a-z])",end:"$|(?![-a-z])",patterns:[{include:"#vendor-prefix"},{include:"#custom-property-name"},{include:"#filter-function"},{captures:{1:{name:"keyword.other.custom-property.prefix.less"},2:{name:"support.type.custom-property.name.less"}},match:"\\b(var-)(-?(?:[[-\\w][^\\x{00}-\\x{9f}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[_a-zA-Z][^\\x{00}-\\x{9f}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*)(?=\\s)",name:"invalid.deprecated.custom-property.less"},{begin:"\\bfont(-family)?(?!-)\\b",beginCaptures:{0:{name:"support.type.property-name.less"}},end:"\\s*(;)|(?=[})])",endCaptures:{1:{name:"punctuation.terminator.rule.less"}},name:"meta.property-name.less",patterns:[{captures:{1:{name:"punctuation.separator.key-value.less"},4:{name:"meta.property-value.less"}},match:"(((\\+_?)?):)([\\s\\t]*)"},{include:"#property-values"},{match:"-?(?:[[_a-zA-Z][^\\x{00}-\\x{9f}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[-\\w][^\\x{00}-\\x{9f}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*(\\s+-?(?:[[_a-zA-Z][^\\x{00}-\\x{9f}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[-\\w][^\\x{00}-\\x{9f}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*)*",name:"string.unquoted.less"},{match:",",name:"punctuation.separator.less"}]},{begin:"\\banimation(-(delay|direction|duration|fill-mode|iteration-count|name|play-state|timing-function))?\\b",beginCaptures:{0:{name:"support.type.property-name.less"}},end:"\\s*(;)|(?=[})])",endCaptures:{1:{name:"punctuation.terminator.rule.less"}},patterns:[{begin:"(((\\+_?)?):)(?=[\\s\\t]*)",beginCaptures:{1:{name:"punctuation.separator.key-value.less"}},captures:{1:{name:"punctuation.definition.arbitrary-repetition.less"}},contentName:"meta.property-value.less",end:"(?=\\s*(;)|(?=[})]))",patterns:[{match:"\\b(linear|ease(-in)?(-out)?|step-(start|end)|none|forwards|backwards|both|normal|alternate(-reverse)?|reverse|running|paused)\\b",name:"support.constant.property-value.less"},{include:"#cubic-bezier-function"},{include:"#steps-function"},{include:"#time-type"},{include:"#number-type"},{match:"-?(?:[_a-zA-Z]|[^\\x{00}-\\x{7F}]|(?:(:?\\\\[0-9a-f]{1,6}(\\r\\n|[\\s\\t\\r\\n\\f])?)|\\\\[^\\r\\n\\f0-9a-f]))(?:[-_a-zA-Z0-9]|[^\\x{00}-\\x{7F}]|(?:(:?\\\\[0-9a-f]{1,6}(\\r\\n|[\\t\\r\\n\\f])?)|\\\\[^\\r\\n\\f0-9a-f]))*",name:"variable.other.constant.animation-name.less"},{include:"#literal-string"},{include:"#property-values"},{match:"\\s*(?:(,))"}]}]},{begin:"\\b(transition(-(property|duration|delay|timing-function))?)\\b",beginCaptures:{0:{name:"meta.property-name.less"},1:{name:"support.type.property-name.less"}},end:"\\s*(;)|(?=[})])",endCaptures:{1:{name:"punctuation.terminator.rule.less"}},patterns:[{captures:{1:{name:"punctuation.separator.key-value.less"},4:{name:"meta.property-value.less"}},match:"(((\\+_?)?):)([\\s\\t]*)"},{include:"#time-type"},{include:"#property-values"},{include:"#cubic-bezier-function"},{include:"#steps-function"},{captures:{1:{name:"punctuation.definition.arbitrary-repetition.less"}},match:"\\s*(?:(,))"}]},{begin:"\\bfilter\\b",beginCaptures:{0:{name:"support.type.property-name.less"}},end:"\\s*(;)|(?=[})])",endCaptures:{1:{name:"punctuation.terminator.rule.less"}},name:"meta.property-name.less",patterns:[{captures:{1:{name:"punctuation.separator.key-value.less"},4:{name:"meta.property-value.less"}},match:"(((\\+_?)?):)([\\s\\t]*)"},{match:"\\b(inherit|initial|unset|none)\\b",name:"meta.property-value.less"},{include:"#filter-functions"}]},{begin:"\\bwill-change\\b",beginCaptures:{0:{name:"support.type.property-name.less"}},end:"\\s*(;)|(?=[})])",endCaptures:{1:{name:"punctuation.terminator.rule.less"}},name:"meta.property-name.less",patterns:[{captures:{1:{name:"punctuation.separator.key-value.less"},4:{name:"meta.property-value.less"}},match:"(((\\+_?)?):)([\\s\\t]*)"},{match:"unset|initial|inherit|will-change|auto|scroll-position|contents",name:"invalid.illegal.property-value.less"},{match:"-?(?:[[-\\w][^\\x{00}-\\x{9f}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[_a-zA-Z][^\\x{00}-\\x{9f}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*",name:"support.constant.property-value.less"},{captures:{1:{name:"punctuation.definition.arbitrary-repetition.less"}},match:"\\s*(?:(,))"}]},{begin:"\\bcounter-(increment|(re)?set)\\b",beginCaptures:{0:{name:"support.type.property-name.less"}},end:"\\s*(;)|(?=[})])",endCaptures:{1:{name:"punctuation.terminator.rule.less"}},name:"meta.property-name.less",patterns:[{captures:{1:{name:"punctuation.separator.key-value.less"},4:{name:"meta.property-value.less"}},match:"(((\\+_?)?):)([\\s\\t]*)"},{match:"-?(?:[[-\\w][^\\x{00}-\\x{9f}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[_a-zA-Z][^\\x{00}-\\x{9f}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*",name:"entity.name.constant.counter-name.less"},{include:"#integer-type"},{match:"unset|initial|inherit|auto",name:"invalid.illegal.property-value.less"}]},{match:"(?x)\\b( accent-height | align-content | align-items | align-self | alignment-baseline | all | animation-timing-function | animation-play-state | animation-name | animation-iteration-count | animation-fill-mode | animation-duration | animation-direction | animation-delay | animation | appearance | ascent | azimuth | backface-visibility | background-size | background-repeat-y | background-repeat-x | background-repeat | background-position-y | background-position-x | background-position | background-origin | background-image | background-color | background-clip | background-blend-mode | background-attachment | background | baseline-shift | begin | bias | blend-mode | border-((top|right|bottom|left)-)?(width|style|color) | border-(top|bottom)-(right|left)-radius | border-image-(width|source|slice|repeat|outset) | border-(top|right|bottom|left|collapse|image|radius|spacing) | border | bottom | box-(align|decoration-break|direction|flex|ordinal-group|orient|pack|shadow|sizing) | break-(after|before|inside) | caption-side | clear | clip-path | clip-rule | clip | color(-(interpolation(-filters)?|profile|rendering))? | columns | column-(break-before|count|fill|gap|(rule(-(color|style|width))?)|span|width) | contain | content | counter-(increment|reset) | cursor | (c|d|f)(x|y) | direction | display | divisor | dominant-baseline | dur | elevation | empty-cells | enable-background | end | fallback | fill(-(opacity|rule))? | filter | flex(-(align|basis|direction|flow|grow|item-align|line-pack|negative|order|pack|positive|preferred-size|shrink|wrap))? | float | flood-(color|opacity) | font-display | font-family | font-feature-settings | font-kerning | font-language-override | font-size(-adjust)? | font-smoothing | font-stretch | font-style | font-synthesis | font-variant(-(alternates|caps|east-asian|ligatures|numeric|position))? | font-weight | font | fr | glyph-orientation-(horizontal|vertical) | grid-(area|gap) | grid-auto-(columns|flow|rows) | grid-(column|row)(-(end|gap|start))? | grid-template(-(areas|columns|rows))? | height | hyphens | image-(orientation|rendering|resolution) | isolation | justify-content | kerning | left | letter-spacing | lighting-color | line-(box-contain|break|clamp|height) | list-style(-(image|position|type))? | margin(-(bottom|left|right|top))? | marker(-(end|mid|start))? | mask(-(clip||composite|image|origin|position|repeat|size|type))? | (max|min)-(height|width) | mix-blend-mode | nbsp-mode | negative | object-(fit|position) | opacity | operator | order | orphans | outline(-(color|offset|style|width))? | overflow(-(scrolling|wrap|x|y))? | pad(ding(-(bottom|left|right|top))?)? | page(-break-(after|before|inside))? | paint-order | pause(-(after|before))? | perspective(-origin(-(x|y))?)? | pitch(-range)? | pointer-events | position | prefix | quotes | range | resize | right | rotate | scale | scroll-behavior | shape-(image-threshold|margin|outside|rendering) | size | speak(-as)? | src | stop-(color|opacity) | stroke(-(dash(array|offset)|line(cap|join)|miterlimit|opacity|width))? | suffix | symbols | system | tab-size | table-layout | tap-highlight-color | text-align(-last)? | text-decoration(-(color|line|style))? | text-emphasis(-(color|position|style))? | text-(anchor|fill-color|height|indent|justify|orientation|overflow|rendering|shadow|transform|underline-position) | top | touch-action | transform(-origin(-(x|y))?) | transform(-style)? | transition(-(delay|duration|property|timing-function))? | translate | unicode-(bidi|range) | user-(drag|select) | vertical-align | visibility | white-space | widows | width | will-change | word-(break|spacing|wrap) | writing-mode | z-index | zoom )\\b",name:"support.type.property-name.less"},{include:"$self"}]},{begin:"\\b(((\\+_?)?):)([\\s\\t]*)",captures:{1:{name:"punctuation.separator.key-value.less"},4:{name:"meta.property-value.less"}},contentName:"meta.property-value.less",end:"\\s*(;)|(?=[})])",endCaptures:{1:{name:"punctuation.terminator.rule.less"}},patterns:[{include:"#property-values"}]},{include:"$self"}]},selector:{patterns:[{begin:"(?=[>~+/\\.*#a-zA-Z\\[&]|(\\:{1,2}[^\\s])|@\\{)",contentName:"meta.selector.less",end:"(?=@(?!\\{)|[{;])",patterns:[{include:"#comment-line"},{include:"#selectors"},{include:"#less-namespace-accessors"},{include:"#less-variable-interpolation"},{captures:{1:{name:"punctuation.separator.less"}},match:"(\\!)\\s*important",name:"keyword.other.important.less"}]}]},selectors:{patterns:[{match:"\\b([a-z](?:(?:[-_a-z0-9\\x{00B7}]|\\\\\\.|[[\\x{00C0}-\\x{00D6}][\\x{00D8}-\\x{00F6}][\\x{00F8}-\\x{02FF}][\\x{0300}-\\x{037D}][\\x{037F}-\\x{1FFF}][\\x{200C}-\\x{200D}][\\x{203F}-\\x{2040}][\\x{2070}-\\x{218F}][\\x{2C00}-\\x{2FEF}][\\x{3001}-\\x{D7FF}][\\x{F900}-\\x{FDCF}][\\x{FDF0}-\\x{FFFD}][\\x{10000}-\\x{EFFFF}]]))*-(?:(?:[-_a-z0-9\\x{00B7}]|\\\\\\.|[[\\x{00C0}-\\x{00D6}][\\x{00D8}-\\x{00F6}][\\x{00F8}-\\x{02FF}][\\x{0300}-\\x{037D}][\\x{037F}-\\x{1FFF}][\\x{200C}-\\x{200D}][\\x{203F}-\\x{2040}][\\x{2070}-\\x{218F}][\\x{2C00}-\\x{2FEF}][\\x{3001}-\\x{D7FF}][\\x{F900}-\\x{FDCF}][\\x{FDF0}-\\x{FFFD}][\\x{10000}-\\x{EFFFF}]]))*)\\b",name:"entity.name.tag.custom.less"},{match:"(?x)\\b( a | abbr | acronym | address | applet | area | article | aside | audio | b | base | basefont | bdi | bdo | big | blockquote | body | br | button | canvas | caption | circle | cite | clipPath | code | col | colgroup | content | data | dataList | dd | defs | del | details | dfn | dialog | dir | div | dl | dt | element | ellipse | em | embed | eventsource | fieldset | figcaption | figure | filter | footer | foreignObject | form | frame | frameset | g | glyph | glyphRef | h1 | h2 | h3 | h4 | h5 | h6 | head | header | hgroup | hr | html | i | iframe | image | img | input | ins | isindex | kbd | keygen | label | legend | li | line | linearGradient | link | main | map | mark | marker | mask | menu | meta | meter | nav | noframes | noscript | object | ol | optgroup | option | output | p | param | path | pattern | picture | polygon | polyline | pre | progress | q | radialGradient | rect | rp | ruby | rt | rtc | s | samp | script | section | select | shadow | small | source | span | stop | strike | strong | style | sub | summary | sup | svg | switch | symbol | table | tbody | td | template | textarea | textPath | tfoot | th | thead | time | title | tr | track | tref | tspan | tt | u | ul | use | var | video | wbr | xmp )\\b",name:"entity.name.tag.less"},{begin:"(\\.)",beginCaptures:{1:{name:"punctuation.definition.entity.less"}},end:"(?![-\\w]|[^\\x{00}-\\x{9f}]|\\\\([A-Fa-f0-9]{1,6} ?|[^A-Fa-f0-9])|(\\@(?=\\{)))",name:"entity.other.attribute-name.class.less",patterns:[{include:"#less-variable-interpolation"}]},{begin:"(#)",beginCaptures:{1:{name:"punctuation.definition.entity.less"}},end:"(?![-\\w]|[^\\x{00}-\\x{9f}]|\\\\([A-Fa-f0-9]{1,6} ?|[^A-Fa-f0-9])|(\\@(?=\\{)))",name:"entity.other.attribute-name.id.less",patterns:[{include:"#less-variable-interpolation"}]},{begin:"(&)",beginCaptures:{1:{name:"punctuation.definition.entity.less"}},contentName:"entity.other.attribute-name.parent.less",end:"(?![-\\w]|[^\\x{00}-\\x{9f}]|\\\\([A-Fa-f0-9]{1,6} ?|[^A-Fa-f0-9])|(\\@(?=\\{)))",name:"entity.other.attribute-name.parent.less",patterns:[{include:"#less-variable-interpolation"},{include:"#selectors"}]},{include:"#pseudo-elements"},{include:"#pseudo-classes"},{include:"#less-extend"},{match:"(?!\\+_?:)(?:>{1,3}|[~+])(?![>~+;}])",name:"punctuation.separator.combinator.less"},{match:"((?:>{1,3}|[~+])){2,}",name:"invalid.illegal.combinator.less"},{match:"\\/deep\\/",name:"invalid.illegal.combinator.less"},{begin:"\\[",captures:{0:{name:"punctuation.definition.entity.less"}},end:"\\]",name:"meta.attribute-selector.less",patterns:[{include:"#less-variable-interpolation"},{include:"#qualified-name"},{match:"(-?(?:[[_a-zA-Z][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))(?:[[-\\w][^\\x{00}-\\x{7F}]]|(?:\\\\\\h{1,6}[\\s\\t\\n\\f]?|\\\\[^\\n\\f\\h]))*)",name:"entity.other.attribute-name.less"},{begin:"\\s*([~*|^$]?=)\\s*",captures:{1:{name:"keyword.operator.attribute-selector.less"}},end:"(?=(\\s|\\]))",patterns:[{include:"#less-variable-interpolation"},{match:`[^\\s\\]\\['"]`,name:"string.unquoted.less"},{include:"#literal-string"},{captures:{1:{name:"keyword.other.less"}},match:"(?:\\s+([iI]))?"},{match:"\\]",name:"punctuation.definition.entity.less"}]}]},{captures:{1:{name:"punctuation.definition.arbitrary-repetition.less"}},match:"\\s*(?:(,))"},{match:"\\*",name:"entity.name.tag.wildcard.less"}]},"shape-functions":{patterns:[{begin:"\\b(rect)(?=\\()",beginCaptures:{0:{name:"support.function.shape.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{match:"\\bauto\\b",name:"support.constant.property-value.less"},{include:"#length-type"},{include:"#comma-delimiter"}]}]},{begin:"\\b(inset)(?=\\()",beginCaptures:{0:{name:"support.function.shape.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{match:"\\bround\\b",name:"keyword.other.less"},{include:"#length-type"},{include:"#percentage-type"}]}]},{begin:"\\b(circle|ellipse)(?=\\()",beginCaptures:{0:{name:"support.function.shape.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{match:"\\bat\\b",name:"keyword.other.less"},{match:"\\b(top|right|bottom|left|center|closest-side|farthest-side)\\b",name:"support.constant.property-value.less"},{include:"#length-type"},{include:"#percentage-type"}]}]},{begin:"\\b(polygon)(?=\\()",beginCaptures:{0:{name:"support.function.shape.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{match:"\\b(nonzero|evenodd)\\b",name:"support.constant.property-value.less"},{include:"#length-type"},{include:"#percentage-type"}]}]}]},"steps-function":{begin:"\\b(steps)(?=\\()",beginCaptures:{0:{name:"support.function.timing.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#comma-delimiter"},{include:"#integer-type"},{match:"(end|middle|start)",name:"support.keyword.timing-direction.less"}]}]},"string-content":{patterns:[{include:"#less-variable-interpolation"},{match:"\\\\\\s*\\n",name:"constant.character.escape.newline.less"},{match:"\\\\(\\h{1,6}|.)",name:"constant.character.escape.less"}]},"symbols-function":{begin:"\\b(symbols)(?=\\()",beginCaptures:{1:{name:"support.function.counter.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{match:"\\b(cyclic|numeric|alphabetic|symbolic|fixed)\\b",name:"support.constant.symbol-type.less"},{include:"#comma-delimiter"},{include:"#literal-string"},{include:"#image-type"}]}]},"time-type":{captures:{1:{name:"keyword.other.unit.less"}},match:"(?i:[-+]?(?:(?:\\d*\\.\\d+(?:[eE](?:[-+]?\\d+))*)|(?:[-+]?\\d+))(s|ms))\\b",name:"constant.numeric.less"},"transform-functions":{patterns:[{begin:"\\b(matrix3d|scale3d|matrix|scale)(?=\\()",beginCaptures:{0:{name:"support.function.transform.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#comma-delimiter"},{include:"#number-type"},{include:"#less-variables"},{include:"#var-function"}]}]},{begin:"\\b(translate(3d)?)(?=\\()",beginCaptures:{0:{name:"support.function.transform.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#comma-delimiter"},{include:"#percentage-type"},{include:"#length-type"},{include:"#number-type"},{include:"#less-variables"},{include:"#var-function"}]}]},{begin:"\\b(translate[XY])(?=\\()",beginCaptures:{0:{name:"support.function.transform.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#percentage-type"},{include:"#length-type"},{include:"#number-type"},{include:"#less-variables"},{include:"#var-function"}]}]},{begin:"\\b(rotate[XYZ]?|skew[XY])(?=\\()",beginCaptures:{0:{name:"support.function.transform.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#angle-type"},{include:"#less-variables"},{include:"#calc-function"},{include:"#var-function"}]}]},{begin:"\\b(skew)(?=\\()",beginCaptures:{0:{name:"support.function.transform.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#comma-delimiter"},{include:"#angle-type"},{include:"#less-variables"},{include:"#calc-function"},{include:"#var-function"}]}]},{begin:"\\b(translateZ|perspective)(?=\\()",beginCaptures:{0:{name:"support.function.transform.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#length-type"},{include:"#less-variables"},{include:"#calc-function"},{include:"#var-function"}]}]},{begin:"\\b(rotate3d)(?=\\()",beginCaptures:{0:{name:"support.function.transform.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#comma-delimiter"},{include:"#angle-type"},{include:"#number-type"},{include:"#less-variables"},{include:"#calc-function"},{include:"#var-function"}]}]},{begin:"\\b(scale[XYZ])(?=\\()",beginCaptures:{0:{name:"support.function.transform.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#comma-delimiter"},{include:"#number-type"},{include:"#less-variables"},{include:"#calc-function"},{include:"#var-function"}]}]}]},"unicode-range":{captures:{1:{name:"support.constant.unicode-range.prefix.less"},2:{name:"constant.codepoint-range.less"},3:{name:"punctuation.section.range.less"}},match:"(?i)(u\\+)([0-9a-f?]{1,6}(?:(-)[0-9a-f]{1,6})?)",name:"support.unicode-range.less"},"unquoted-string":{match:`[^\\s'"]`,name:"string.unquoted.less"},"url-function":{begin:"\\b(url)(?=\\()",beginCaptures:{1:{name:"support.function.url.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#less-variables"},{include:"#literal-string"},{include:"#unquoted-string"},{include:"#var-function"}]}]},"var-function":{patterns:[{begin:"\\b(var)(?=\\()",beginCaptures:{1:{name:"support.function.var.less"}},end:"\\)",endCaptures:{0:{name:"punctuation.definition.group.end.less"}},name:"meta.function-call.less",patterns:[{begin:"\\(",beginCaptures:{0:{name:"punctuation.definition.group.begin.less"}},end:"(?=\\))",patterns:[{include:"#comma-delimiter"},{include:"#custom-property-name"},{include:"#less-variables"}]}]}]},"vendor-prefix":{match:"-(?:webkit|moz(-osx)?|ms|o)-",name:"support.type.vendor-prefix.less"}},scopeName:"source.css.less"});var n=[e];export{n as default};
@@ -0,0 +1 @@
1
+ const e=Object.freeze({displayName:"Gleam",name:"gleam",patterns:[{include:"#comments"},{include:"#keywords"},{include:"#strings"},{include:"#constant"},{include:"#entity"}],repository:{binary_number:{match:"\\b0b[0-1]+\\b",name:"constant.numeric.binary.gleam",patterns:[]},boolean:{match:"\\b(True|False)\\b",name:"constant.language.boolean.gleam",patterns:[]},comments:{patterns:[{match:"//.*",name:"comment.line.gleam"}]},constant:{patterns:[{include:"#binary_number"},{include:"#octal_number"},{include:"#hexadecimal_number"},{include:"#decimal_number"},{include:"#boolean"},{match:"[[:upper:]][[:word:]]*",name:"entity.name.type.gleam"}]},decimal_number:{match:"\\b[[:digit:]]+(_?[[:digit:]])*(\\.[[:digit:]]*)?(e-?[[:digit:]]*)?\\b",name:"constant.numeric.decimal.gleam",patterns:[]},entity:{patterns:[{begin:"\\b([[:lower:]][[:word:]]*)([[:space:]]*)?\\(",captures:{1:{name:"entity.name.function.gleam"}},end:"\\)",patterns:[{include:"$self"}]},{match:"\\b([[:lower:]][[:word:]]*):\\s",name:"variable.parameter.gleam"},{match:"\\b([[:lower:]][[:word:]]*):",name:"entity.name.namespace.gleam"}]},hexadecimal_number:{match:"\\b0x[[:xdigit:]]+\\b",name:"constant.numeric.hexadecimal.gleam",patterns:[]},keywords:{patterns:[{match:"\\b(as|use|case|if|fn|import|let|assert|pub|type|opaque|const|todo|panic)\\b",name:"keyword.control.gleam"},{match:"(<\\-|\\->)",name:"keyword.operator.arrow.gleam"},{match:"\\|>",name:"keyword.operator.pipe.gleam"},{match:"\\.\\.",name:"keyword.operator.splat.gleam"},{match:"(<=\\.|>=\\.|==\\.|!=\\.|<\\.|>\\.)",name:"keyword.operator.comparison.float.gleam"},{match:"(<=|>=|==|!=|<|>)",name:"keyword.operator.comparison.int.gleam"},{match:"(&&|\\|\\|)",name:"keyword.operator.logical.gleam"},{match:"<>",name:"keyword.operator.string.gleam"},{match:"\\|",name:"keyword.operator.other.gleam"},{match:"(\\+\\.|\\-\\.|/\\.|\\*\\.|%\\.)",name:"keyword.operator.arithmetic.float.gleam"},{match:"(\\+|\\-|/|\\*|%)",name:"keyword.operator.arithmetic.int.gleam"},{match:"=",name:"keyword.operator.assignment.gleam"}]},octal_number:{match:"\\b0o[0-7]+\\b",name:"constant.numeric.octal.gleam",patterns:[]},strings:{begin:'"',end:'"',name:"string.quoted.double.gleam",patterns:[{match:"\\\\.",name:"constant.character.escape.gleam"}]}},scopeName:"source.gleam"});var a=[e];export{a as default};
@@ -0,0 +1,9 @@
1
+ const e=Object.freeze({displayName:"SystemVerilog",fileTypes:["sv","SV","v","V","svh","SVH","vh","VH"],name:"system-verilog",patterns:[{begin:"\\s*\\b(function|task)\\b(\\s+automatic)?",beginCaptures:{1:{name:"keyword.control.systemverilog"},2:{name:"keyword.control.systemverilog"}},end:";",name:"meta.function.systemverilog",patterns:[{captures:{1:{name:"storage.type.systemverilog"},2:{name:"entity.name.function.systemverilog"}},match:"\\b([a-zA-Z_][a-zA-Z0-9_]*\\s+)?([a-zA-Z_][a-zA-Z0-9_:]*)\\s*(?=\\(|;)"},{include:"#port-dir"},{include:"#base-grammar"}]},{captures:{1:{name:"keyword.control.systemverilog"},2:{name:"keyword.control.systemverilog"},3:{name:"entity.name.function.systemverilog"}},match:"\\s*\\b(task)\\s+(automatic)?\\s*(\\w+)\\s*;",name:"meta.task.simple.systemverilog"},{begin:"\\s*\\b(typedef\\s+(struct|enum|union)\\b)\\s*(packed)?\\s*([a-zA-Z_][a-zA-Z0-9_]*)?",beginCaptures:{1:{name:"keyword.control.systemverilog"},2:{name:"keyword.control.systemverilog"},3:{name:"keyword.control.systemverilog"},4:{name:"storage.type.systemverilog"}},end:"(})\\s*([a-zA-Z_][a-zA-Z0-9_]*)\\s*;",endCaptures:{1:{name:"keyword.operator.other.systemverilog"},2:{name:"entity.name.function.systemverilog"}},name:"meta.typedef.struct.systemverilog",patterns:[{include:"#struct-anonymous"},{include:"#base-grammar"}]},{captures:{1:{name:"keyword.control.systemverilog"},2:{name:"entity.name.declaration.systemverilog"}},match:"\\s*\\b(typedef\\s+class)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\s*;",name:"meta.typedef.class.systemverilog"},{begin:"\\s*\\b(typedef)\\b",beginCaptures:{1:{name:"keyword.control.systemverilog"}},end:"([a-zA-Z_][a-zA-Z0-9_]*)\\s*(?=(\\[[a-zA-Z0-9_:\\$\\-\\+]*\\])?;)",endCaptures:{1:{name:"entity.name.function.systemverilog"}},name:"meta.typedef.simple.systemverilog",patterns:[{captures:{1:{name:"storage.type.userdefined.systemverilog"},2:{name:"keyword.operator.param.systemverilog"}},match:"\\b([a-zA-Z_]\\w*)\\s*(#)\\(",name:"meta.typedef.class.systemverilog"},{include:"#base-grammar"},{include:"#module-binding"}]},{begin:"\\s*(module)\\s+\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",beginCaptures:{1:{name:"keyword.control.systemverilog"},2:{name:"entity.name.type.module.systemverilog"}},end:";",endCaptures:{1:{name:"entity.name.function.systemverilog"}},name:"meta.module.systemverilog",patterns:[{include:"#port-dir"},{match:"\\s*(parameter)",name:"keyword.other.systemverilog"},{include:"#base-grammar"},{include:"#ifmodport"},{match:"\\$\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",name:"support.function.systemverilog"}]},{captures:{1:{name:"keyword.control.systemverilog"},2:{name:"entity.name.function.systemverilog"}},match:"\\b(sequence)\\s+([a-zA-Z_][a-zA-Z0-9_]*)",name:"meta.sequence.systemverilog"},{captures:{1:{name:"keyword.control.systemverilog"}},match:"\\b(bind)\\s+([a-zA-Z_][a-zA-Z0-9_\\.]*)\\b"},{captures:{0:{name:"meta.section.begin.systemverilog"},1:{name:"keyword.other.block.systemverilog"},3:{name:"keyword.operator.systemverilog"},4:{name:"entity.name.section.systemverilog"}},match:"\\s*(begin|fork)\\s*((:)\\s*([a-zA-Z_][a-zA-Z0-9_]*))\\b",name:"meta.definition.systemverilog"},{captures:{1:{name:"keyword.sva.systemverilog"},2:{name:"entity.name.sva.systemverilog"}},match:"\\b(asset|cover)\\s(property)\\s+(\\w+)"},{captures:{1:{name:"entity.name.sva.systemverilog"},2:{name:"keyword.operator.systemverilog"},3:{name:"keyword.sva.systemverilog"}},match:"\\b(\\w+)\\s*(:)\\s*(assert)\\b"},{begin:"\\s*(//)\\s*(psl)\\s+((\\w+)\\s*(:))?\\s*(default|assert|assume)",beginCaptures:{0:{name:"meta.psl.systemverilog"},1:{name:"comment.line.double-slash.systemverilog"},2:{name:"keyword.psl.systemverilog"},4:{name:"entity.psl.name.systemverilog"},5:{name:"keyword.operator.systemverilog"},6:{name:"keyword.psl.systemverilog"}},end:";",name:"meta.psl.systemverilog",patterns:[{match:"\\b(never|always|default|clock|within|rose|fell|stable|until|before|next|eventually|abort|posedge)\\b",name:"keyword.psl.systemverilog"},{include:"#operators"},{include:"#functions"},{include:"#constants"}]},{begin:"\\s*(/\\*)\\s*(psl)",beginCaptures:{0:{name:"meta.psl.systemverilog"},1:{name:"comment.block.systemverilog"},2:{name:"keyword.psl.systemverilog"}},end:"(\\*/)",endCaptures:{1:{name:"comment.block.systemverilog"}},name:"meta.psl.systemverilog",patterns:[{captures:{0:{name:"meta.psl.systemverilog"},2:{name:"entity.psl.name.systemverilog"},3:{name:"keyword.operator.systemverilog"},4:{name:"keyword.psl.systemverilog"}},match:"^\\s*((\\w+)\\s*(:))?\\s*(default|assert|assume)"},{captures:{1:{name:"keyword.psl.systemverilog"},2:{name:"entity.psl.name.systemverilog"}},match:"\\b(asset|cover)\\s+(property)\\s+(\\w+)"},{match:"\\b(never|always|default|clock|within|rose|fell|stable|until|before|next|eventually|abort|posedge|negedge)\\b",name:"keyword.psl.systemverilog"},{include:"#operators"},{include:"#functions"},{include:"#constants"}]},{captures:{1:{name:"keyword.other.systemverilog"}},match:"\\s*\\b(automatic|cell|config|deassign|defparam|design|disable|edge|endconfig|endgenerate|endspecify|endtable|event|generate|genvar|ifnone|incdir|instance|liblist|library|macromodule|negedge|noshowcancelled|posedge|pulsestyle_onevent|pulsestyle_ondetect|scalared|showcancelled|specify|specparam|table|use|vectored)\\b"},{captures:{1:{name:"keyword.control.systemverilog"}},match:"\\s*\\b(initial|always|wait|force|release|assign|always_comb|always_ff|always_latch|forever|repeat|while|for|if|iff|else|case|casex|casez|default|endcase|return|break|continue|do|foreach|with|inside|dist|clocking|cover|coverpoint|property|bins|binsof|illegal_bins|ignore_bins|randcase|modport|matches|solve|static|assert|assume|before|expect|cross|ref|first_match|srandom|struct|packed|final|chandle|alias|tagged|extern|throughout|timeprecision|timeunit|priority|type|union|uwire|wait_order|triggered|randsequence|import|export|context|pure|intersect|wildcard|within|new|typedef|enum|this|super|begin|fork|forkjoin|unique|unique0|priority)\\b"},{captures:{1:{name:"keyword.control.systemverilog"},3:{name:"keyword.operator.systemverilog"},4:{name:"entity.label.systemverilog"}},match:"\\s*\\b(end|endtask|endmodule|endfunction|endprimitive|endclass|endpackage|endsequence|endprogram|endclocking|endproperty|endgroup|endinterface|join|join_any|join_none)\\b(\\s*(:)\\s*(\\w+))?",name:"meta.object.end.systemverilog"},{match:"\\b(std)\\b::",name:"support.class.systemverilog"},{captures:{1:{name:"constant.other.define.systemverilog"},2:{name:"entity.name.type.define.systemverilog"}},match:"^\\s*(`define)\\s+([a-zA-Z_][a-zA-Z0-9_]*)",name:"meta.define.systemverilog"},{captures:{1:{name:"constant.other.undef.systemverilog"},2:{name:"entity.name.type.undef.systemverilog"}},match:"^\\s*(`undef)\\s+([a-zA-Z_][a-zA-Z0-9_]*)",name:"meta.undef.systemverilog"},{include:"#comments"},{captures:{1:{name:"keyword.control.systemverilog"},2:{name:"entity.name.type.class.systemverilog"}},match:"\\s*(primitive|package|constraint|interface|covergroup|program)\\s+\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",name:"meta.definition.systemverilog"},{captures:{2:{name:"entity.name.type.class.systemverilog"},3:{name:"keyword.operator.other.systemverilog"},4:{name:"keyword.control.systemverilog"}},match:"(([a-zA-Z_][a-zA-Z0-9_]*)\\s*(:))?\\s*(coverpoint|cross)\\s+([a-zA-Z_][a-zA-Z0-9_]*)",name:"meta.definition.systemverilog"},{captures:{1:{name:"keyword.control.systemverilog"},2:{name:"keyword.control.systemverilog"},3:{name:"entity.name.type.class.systemverilog"}},match:"\\b(virtual\\s+)?(class)\\s+\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",name:"meta.definition.class.systemverilog"},{captures:{1:{name:"keyword.control.systemverilog"},2:{name:"entity.other.inherited-class.systemverilog"}},match:"\\b(extends)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\b",name:"meta.definition.systemverilog"},{include:"#all-types"},{include:"#operators"},{include:"#port-dir"},{match:"\\b(and|nand|nor|or|xor|xnor|buf|not|bufif[01]|notif[01]|r?[npc]mos|tran|r?tranif[01]|pullup|pulldown)\\b",name:"support.type.systemverilog"},{include:"#strings"},{match:"\\$\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",name:"support.function.systemverilog"},{captures:{1:{name:"storage.type.systemverilog"},2:{name:"keyword.operator.cast.systemverilog"}},match:"\\b([a-zA-Z_][a-zA-Z0-9_]*)(')(?=\\()",name:"meta.cast.systemverilog"},{captures:{1:{name:"keyword.other.systemverilog"},2:{name:"constant.other.systemverilog"}},match:"^\\s*(localparam|parameter)\\s+([A-Z_][A-Z0-9_]*)\\b\\s*(?=(=))",name:"meta.param.systemverilog"},{captures:{1:{name:"keyword.other.systemverilog"}},match:"^\\s*(localparam|parameter)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\b\\s*(?=(=))",name:"meta.param.systemverilog"},{captures:{1:{name:"keyword.other.systemverilog"},2:{name:"keyword.other.systemverilog"},3:{name:"storage.type.rand.systemverilog"},5:{name:"support.type.scope.systemverilog"},6:{name:"keyword.operator.scope.systemverilog"},7:{name:"storage.type.userdefined.systemverilog"}},match:"^\\s*(local\\s+|protected\\s+|localparam\\s+|parameter\\s+)?(const\\s+|virtual\\s+)?(rand\\s+|randc\\s+)?(([a-zA-Z_][a-zA-Z0-9_]*)(::))?([a-zA-Z_][a-zA-Z0-9_]*)\\b\\s*(?=(#\\s*\\([\\w,]+\\)\\s*)?([a-zA-Z][a-zA-Z0-9_\\s\\[\\]']*)(;|,|=|'\\{))",name:"meta.userdefined.systemverilog"},{captures:{1:{name:"keyword.cover.systemverilog"}},match:"\\s*\\b(option)\\."},{captures:{1:{name:"keyword.other.systemverilog"}},match:"\\s*\\b(local|const|protected|virtual|localparam|parameter)\\b"},{match:"\\s*\\b(rand|randc)\\b",name:"storage.type.rand.systemverilog"},{begin:"^(\\s*(bind)\\s+([a-zA-Z_][\\w\\.]*))?\\s*([a-zA-Z_][a-zA-Z0-9_]*)\\s*(?=#[^#])",beginCaptures:{2:{name:"keyword.control.systemverilog"},4:{name:"storage.module.systemverilog"}},end:"(?=;|=|:)",name:"meta.module.inst.param.systemverilog",patterns:[{include:"#module-binding"},{include:"#module-param"},{include:"#comments"},{include:"#operators"},{include:"#constants"},{include:"#strings"},{match:"\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b(?=\\s*(\\(|$))",name:"entity.name.type.module.systemverilog"}]},{begin:"\\b([a-zA-Z_][a-zA-Z0-9_]*)\\s+(?!intersect|and|or|throughout|within)([a-zA-Z_][a-zA-Z0-9_]*)\\s*(\\[(\\d+)(\\:(\\d+))?\\])?\\s*(\\(|$)",beginCaptures:{1:{name:"storage.module.systemverilog"},2:{name:"entity.name.type.module.systemverilog"},4:{name:"constant.numeric.systemverilog"},6:{name:"constant.numeric.systemverilog"}},end:";",name:"meta.module.inst.systemverilog",patterns:[{include:"#module-binding"},{include:"#comments"},{include:"#strings"},{include:"#operators"},{include:"#constants"}]},{begin:"\\b\\s+(<?=)\\s*(\\'{)",beginCaptures:{1:{name:"keyword.operator.other.systemverilog"},2:{name:"keyword.operator.other.systemverilog"},3:{name:"keyword.operator.other.systemverilog"}},end:";",name:"meta.struct.assign.systemverilog",patterns:[{captures:{1:{name:"support.function.field.systemverilog"},2:{name:"keyword.operator.other.systemverilog"}},match:"\\b(\\w+)\\s*(:)(?!:)"},{include:"#comments"},{include:"#strings"},{include:"#operators"},{include:"#constants"},{include:"#storage-scope-systemverilog"}]},{include:"#storage-scope-systemverilog"},{include:"#functions"},{include:"#constants"}],repository:{"all-types":{patterns:[{include:"#storage-type-systemverilog"},{include:"#storage-modifier-systemverilog"}]},"base-grammar":{patterns:[{include:"#all-types"},{include:"#comments"},{include:"#operators"},{include:"#constants"},{include:"#strings"},{captures:{1:{name:"storage.type.interface.systemverilog"}},match:"^\\s*([a-zA-Z_][a-zA-Z0-9_]*)\\s+[a-zA-Z_][a-zA-Z0-9_,=\\s]*"},{include:"#storage-scope-systemverilog"}]},comments:{patterns:[{begin:"/\\*",captures:{0:{name:"punctuation.definition.comment.systemverilog"}},end:"\\*/",name:"comment.block.systemverilog"},{captures:{1:{name:"punctuation.definition.comment.systemverilog"}},match:"(//).*$\\n?",name:"comment.line.double-slash.systemverilog"}]},constants:{patterns:[{match:"(\\b\\d+)?'(s?[bB]\\s*[0-1xXzZ?][0-1_xXzZ?]*|s?[oO]\\s*[0-7xXzZ?][0-7_xXzZ?]*|s?[dD]\\s*[0-9xXzZ?][0-9_xXzZ?]*|s?[hH]\\s*[0-9a-fA-FxXzZ?][0-9a-fA-F_xXzZ?]*)((e|E)(\\+|-)?[0-9]+)?(?!'|\\w)",name:"constant.numeric.systemverilog"},{match:"'[01xXzZ]",name:"constant.numeric.bit.systemverilog"},{match:"\\b((\\d[\\d_]*)(e|E)(\\+|-)?[0-9]+)\\b",name:"constant.numeric.exp.systemverilog"},{match:"\\b(\\d[\\d_]*)\\b",name:"constant.numeric.decimal.systemverilog"},{match:"\\b(\\d+(fs|ps|ns|us|ms|s)?)\\b",name:"constant.numeric.time.systemverilog"},{match:"\\b([A-Z][A-Z0-9_]*)\\b",name:"constant.other.net.systemverilog"},{captures:{1:{name:"constant.other.preprocessor.systemverilog"},2:{name:"support.variable.systemverilog"}},match:"(`ifdef|`ifndef|`default_nettype)\\s+(\\w+)"},{match:"`(celldefine|else|elsif|endcelldefine|endif|include|line|nounconnected_drive|resetall|timescale|unconnected_drive|undef|begin_\\w+|end_\\w+|remove_\\w+|restore_\\w+)\\b",name:"constant.other.preprocessor.systemverilog"},{match:"`\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",name:"constant.other.define.systemverilog"},{match:"\\b(null)\\b",name:"support.constant.systemverilog"}]},functions:{match:"\\b(\\w+)(?=\\s*\\()",name:"support.function.generic.systemverilog"},ifmodport:{captures:{1:{name:"storage.type.interface.systemverilog"},2:{name:"support.modport.systemverilog"}},match:"\\b([a-zA-Z_][a-zA-Z0-9_]*)\\.([a-zA-Z_][a-zA-Z0-9_]*)\\s+([a-zA-Z_][a-zA-Z0-9_]*)\\b"},"module-binding":{begin:"\\.([a-zA-Z_][a-zA-Z0-9_]*)\\s*\\(",beginCaptures:{1:{name:"support.function.port.systemverilog"}},captures:{1:{name:"support.function.port.implicit.systemverilog"}},end:"\\)",match:"\\.([a-zA-Z_][a-zA-Z0-9_]*)\\s*",patterns:[{include:"#constants"},{include:"#comments"},{include:"#operators"},{include:"#strings"},{include:"#constants"},{captures:{1:{name:"support.type.scope.systemverilog"},2:{name:"keyword.operator.scope.systemverilog"}},match:"\\b([a-zA-Z_]\\w*)(::)"},{captures:{1:{name:"storage.type.interface.systemverilog"},2:{name:"keyword.operator.cast.systemverilog"}},match:"\\b([a-zA-Z_]\\w*)(')"},{match:"\\$\\b([a-zA-Z_][a-zA-Z0-9_]*)\\b",name:"support.function.systemverilog"},{match:"\\b(virtual)\\b",name:"keyword.control.systemverilog"}]},"module-param":{begin:"(#)\\s*\\(",beginCaptures:{1:{name:"keyword.operator.param.systemverilog"}},end:"\\)",name:"meta.module-param.systemverilog",patterns:[{include:"#comments"},{include:"#constants"},{include:"#operators"},{include:"#strings"},{include:"#module-binding"},{match:"\\b(virtual)\\b",name:"keyword.control.systemverilog"}]},operators:{patterns:[{match:"(=|==|===|!=|!==|<=|>=|<|>)",name:"keyword.operator.comparison.systemverilog"},{match:"(\\-|\\+|\\*|\\/|%)",name:"keyword.operator.arithmetic.systemverilog"},{match:"(!|&&|\\|\\||\\bor\\b)",name:"keyword.operator.logical.systemverilog"},{match:"(&|\\||\\^|~|{|'{|}|<<|>>|\\?|:)",name:"keyword.operator.bitwise.systemverilog"},{match:"(#|@)",name:"keyword.operator.other.systemverilog"}]},"port-dir":{patterns:[{captures:{1:{name:"support.type.systemverilog"},3:{name:"support.type.scope.systemverilog"},4:{name:"keyword.operator.scope.systemverilog"},5:{name:"storage.type.interface.systemverilog"}},match:"\\s*\\b(output|input|inout|ref)\\s+(([a-zA-Z_][a-zA-Z0-9_]*)(::))?([a-zA-Z_][a-zA-Z0-9_]*)?\\s+(?=\\[[a-zA-Z0-9_\\-\\+]*:[a-zA-Z0-9_\\-\\+]*\\]\\s+[a-zA-Z_][a-zA-Z0-9_\\s]*)"},{captures:{1:{name:"support.type.systemverilog"},3:{name:"support.type.scope.systemverilog"},4:{name:"keyword.operator.scope.systemverilog"},5:{name:"storage.type.interface.systemverilog"}},match:"\\s*\\b(output|input|inout|ref)\\s+(([a-zA-Z_][a-zA-Z0-9_]*)(::))?([a-zA-Z_][a-zA-Z0-9_]*)?\\s+(?=[a-zA-Z_][a-zA-Z0-9_\\s]*)"},{match:"\\s*\\b(output|input|inout|ref)\\b",name:"support.type.systemverilog"}]},"storage-modifier-systemverilog":{match:"\\b(signed|unsigned|small|medium|large|supply[01]|strong[01]|pull[01]|weak[01]|highz[01])\\b",name:"storage.modifier.systemverilog"},"storage-scope-systemverilog":{captures:{1:{name:"support.type.systemverilog"},2:{name:"keyword.operator.scope.systemverilog"}},match:"\\b([a-zA-Z_][a-zA-Z0-9_]*)(::)",name:"meta.scope.systemverilog"},"storage-type-systemverilog":{patterns:[{match:"\\s*\\b(var|wire|tri|tri[01]|supply[01]|wand|triand|wor|trior|trireg|reg|integer|int|longint|shortint|logic|bit|byte|shortreal|string|time|realtime|real|process|void)\\b",name:"storage.type.systemverilog"},{match:"\\s*\\b(uvm_transaction|uvm_component|uvm_monitor|uvm_driver|uvm_test|uvm_env|uvm_object|uvm_agent|uvm_sequence_base|uvm_sequence|uvm_sequence_item|uvm_sequence_state|uvm_sequencer|uvm_sequencer_base|uvm_component_registry|uvm_analysis_imp|uvm_analysis_port|uvm_analysis_export|uvm_config_db|uvm_active_passive_enum|uvm_phase|uvm_verbosity|uvm_tlm_analysis_fifo|uvm_tlm_fifo|uvm_report_server|uvm_objection|uvm_recorder|uvm_domain|uvm_reg_field|uvm_reg|uvm_reg_block|uvm_bitstream_t|uvm_radix_enum|uvm_printer|uvm_packer|uvm_comparer|uvm_scope_stack)\\b",name:"storage.type.uvm.systemverilog"}]},strings:{patterns:[{begin:'"',beginCaptures:{0:{name:"punctuation.definition.string.begin.systemverilog"}},end:'"',endCaptures:{0:{name:"punctuation.definition.string.end.systemverilog"}},name:"string.quoted.double.systemverilog",patterns:[{match:"\\\\.",name:"constant.character.escape.systemverilog"},{match:`(?x)%
2
+ (\\d+\\$)?
3
+ [#0\\- +']*
4
+ [,;:_]?
5
+ ((-?\\d+)|\\*(-?\\d+\\$)?)?
6
+ (\\.((-?\\d+)|\\*(-?\\d+\\$)?)?)?
7
+ (hh|h|ll|l|j|t|z|q|L|vh|vl|v|hv|hl)?
8
+ [bdiouxXhHDOUeEfFgGaACcSspnmt%]
9
+ `,name:"constant.other.placeholder.systemverilog"},{match:"%",name:"invalid.illegal.placeholder.systemverilog"}]}]},"struct-anonymous":{begin:"\\s*\\b(struct|union)\\s*(packed)?\\s*",beginCaptures:{1:{name:"keyword.control.systemverilog"},2:{name:"keyword.control.systemverilog"}},end:"(})\\s*([a-zA-Z_]\\w*)\\s*;",endCaptures:{1:{name:"keyword.operator.other.systemverilog"}},name:"meta.struct.anonymous.systemverilog",patterns:[{include:"#base-grammar"}]}},scopeName:"source.systemverilog"});var s=[e];export{s as default};