general-library-union 3.0.49 → 3.0.50

Sign up to get free protection for your applications and to get access to all the features.
Files changed (653) hide show
  1. package/esm2022/general-library-union.mjs +5 -0
  2. package/esm2022/public-api.mjs +180 -0
  3. package/esm2022/src/app/core/componentes/breadcrumb/breadcrumb.component.mjs +40 -0
  4. package/esm2022/src/app/core/componentes/carga-pantalla-completa/carga-pantalla-completa.component.mjs +28 -0
  5. package/esm2022/src/app/core/componentes/filtro-general/filtro-general.component.mjs +196 -0
  6. package/esm2022/src/app/core/componentes/footer/footer.component.mjs +16 -0
  7. package/esm2022/src/app/core/componentes/formulariodinamico/formulariodinamico.component.mjs +272 -0
  8. package/esm2022/src/app/core/componentes/formulariodinamico/objetosformulario/Cambio.mjs +11 -0
  9. package/esm2022/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormulario.mjs +124 -0
  10. package/esm2022/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormularioCons.mjs +73 -0
  11. package/esm2022/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormularioNuevo.mjs +59 -0
  12. package/esm2022/src/app/core/componentes/formulariodinamico/objetosformulario/HashDirective.mjs +21 -0
  13. package/esm2022/src/app/core/componentes/inicio-component/inicio-component.component.mjs +72 -0
  14. package/esm2022/src/app/core/componentes/menu/menu.component.mjs +37 -0
  15. package/esm2022/src/app/core/componentes/tabla-general/tabla-general.component.mjs +93 -0
  16. package/esm2022/src/app/core/core.module.mjs +23 -0
  17. package/esm2022/src/app/core/errores/authentication.error.mjs +7 -0
  18. package/esm2022/src/app/core/modelos/error-response.model.mjs +2 -0
  19. package/esm2022/src/app/core/modelos/table-column.model.mjs +2 -0
  20. package/esm2022/src/app/core/modelos/user.model.mjs +7 -0
  21. package/esm2022/src/app/core/plantilla-general/plantilla-general.template.mjs +230 -0
  22. package/esm2022/src/app/core/servicios/auth.service.mjs +185 -0
  23. package/esm2022/src/app/core/servicios/cargar-control-funcionalidad.service.mjs +57 -0
  24. package/esm2022/src/app/core/servicios/data-exporter-table.utils.mjs +64 -0
  25. package/esm2022/src/app/core/servicios/error-interceptor.service.mjs +214 -0
  26. package/esm2022/src/app/core/servicios/guardias/auth.guard.mjs +66 -0
  27. package/esm2022/src/app/core/servicios/guardias/publico.guard.mjs +35 -0
  28. package/esm2022/src/app/core/servicios/interceptores/loading-interceptor.mjs +37 -0
  29. package/esm2022/src/app/core/servicios/interceptores/token-interceptor.service.mjs +180 -0
  30. package/esm2022/src/app/core/servicios/loading.service.mjs +43 -0
  31. package/esm2022/src/app/core/servicios/menu.service.mjs +146 -0
  32. package/esm2022/src/app/core/servicios/spinner-service.mjs +22 -0
  33. package/esm2022/src/app/core/servicios/storage.service.mjs +68 -0
  34. package/esm2022/src/app/core/servicios/template.service.mjs +99 -0
  35. package/esm2022/src/app/core/servicios/toast.service.mjs +120 -0
  36. package/esm2022/src/app/core/servicios/utils.service.mjs +338 -0
  37. package/esm2022/src/app/core/sharedlib.module.mjs +16 -0
  38. package/esm2022/src/app/core/utilidades/color.util.mjs +177 -0
  39. package/esm2022/src/app/layout/api/menuchangeevent.mjs +2 -0
  40. package/esm2022/src/app/layout/app.breadcrumb.component.mjs +71 -0
  41. package/esm2022/src/app/layout/app.footer.component.mjs +18 -0
  42. package/esm2022/src/app/layout/app.layout.component.mjs +188 -0
  43. package/esm2022/src/app/layout/app.layout.module.mjs +15 -0
  44. package/esm2022/src/app/layout/app.menu.component.mjs +51 -0
  45. package/esm2022/src/app/layout/app.menu.service.mjs +24 -0
  46. package/esm2022/src/app/layout/app.menuitem.component.mjs +296 -0
  47. package/esm2022/src/app/layout/app.menuprofile.component.mjs +78 -0
  48. package/esm2022/src/app/layout/app.rightmenu.component.mjs +24 -0
  49. package/esm2022/src/app/layout/app.sidebar.component.mjs +92 -0
  50. package/esm2022/src/app/layout/app.topbar.component.mjs +346 -0
  51. package/esm2022/src/app/layout/service/app.layout.service.mjs +134 -0
  52. package/esm2022/src/app/publico/pages/login/login.page.mjs +129 -0
  53. package/esm2022/src/app/publico/pages/not-found/not-found.page.mjs +51 -0
  54. package/esm2022/src/app/publico/pages/seleccion-rol/seleccion-rol.component.mjs +167 -0
  55. package/esm2022/src/app/publico/pages/timeout/timeout.page.mjs +20 -0
  56. package/esm2022/src/app/seguridad/constantes/ConstantesGenerales.mjs +30 -0
  57. package/esm2022/src/app/seguridad/constantes/ReporteEntradaConstantes.mjs +19 -0
  58. package/esm2022/src/app/seguridad/modelos/AplicacionModel.mjs +8 -0
  59. package/esm2022/src/app/seguridad/modelos/ArchivoPortalModel.mjs +14 -0
  60. package/esm2022/src/app/seguridad/modelos/AtributoPuntoModel.mjs +9 -0
  61. package/esm2022/src/app/seguridad/modelos/AtributoSSOportalModel.mjs +17 -0
  62. package/esm2022/src/app/seguridad/modelos/AuditoriaArchivoModel.mjs +11 -0
  63. package/esm2022/src/app/seguridad/modelos/CargueLineaModel.mjs +13 -0
  64. package/esm2022/src/app/seguridad/modelos/ColoresRGB.mjs +18 -0
  65. package/esm2022/src/app/seguridad/modelos/ColumnaFuenteModel.mjs +9 -0
  66. package/esm2022/src/app/seguridad/modelos/ColumnaWraperModel.mjs +6 -0
  67. package/esm2022/src/app/seguridad/modelos/ComplementoModel.mjs +7 -0
  68. package/esm2022/src/app/seguridad/modelos/ComponentePaginaModel.mjs +21 -0
  69. package/esm2022/src/app/seguridad/modelos/ConexionModel.mjs +14 -0
  70. package/esm2022/src/app/seguridad/modelos/ContenidoHtmlPortalModel.mjs +21 -0
  71. package/esm2022/src/app/seguridad/modelos/ControlFuncionModel.mjs +8 -0
  72. package/esm2022/src/app/seguridad/modelos/CorreoModel.mjs +35 -0
  73. package/esm2022/src/app/seguridad/modelos/CorreoWSModel.mjs +37 -0
  74. package/esm2022/src/app/seguridad/modelos/CruceRecursoPuntoModel.mjs +14 -0
  75. package/esm2022/src/app/seguridad/modelos/DatoInicioModel.mjs +6 -0
  76. package/esm2022/src/app/seguridad/modelos/EjecucionReporteDataModel.mjs +14 -0
  77. package/esm2022/src/app/seguridad/modelos/EmpresaModel.mjs +25 -0
  78. package/esm2022/src/app/seguridad/modelos/EmpresaSeguridadModel.mjs +19 -0
  79. package/esm2022/src/app/seguridad/modelos/EntradaComplementoModel.mjs +7 -0
  80. package/esm2022/src/app/seguridad/modelos/EtiquetaModel.mjs +5 -0
  81. package/esm2022/src/app/seguridad/modelos/Etiquetas.mjs +12 -0
  82. package/esm2022/src/app/seguridad/modelos/ForeingWraperModel.mjs +6 -0
  83. package/esm2022/src/app/seguridad/modelos/FuenteCampoAtributoModel.mjs +16 -0
  84. package/esm2022/src/app/seguridad/modelos/FuenteCampoModel.mjs +17 -0
  85. package/esm2022/src/app/seguridad/modelos/FuenteDato.mjs +19 -0
  86. package/esm2022/src/app/seguridad/modelos/FuenteEntradaModel.mjs +9 -0
  87. package/esm2022/src/app/seguridad/modelos/FuenteGeneralModel.mjs +37 -0
  88. package/esm2022/src/app/seguridad/modelos/FuenteLineaServicioModel.mjs +16 -0
  89. package/esm2022/src/app/seguridad/modelos/FuenteProcesoGeneralModel.mjs +11 -0
  90. package/esm2022/src/app/seguridad/modelos/FuenteServicioModel.mjs +51 -0
  91. package/esm2022/src/app/seguridad/modelos/FuncionalidadModel.mjs +10 -0
  92. package/esm2022/src/app/seguridad/modelos/GeneralModel.mjs +15 -0
  93. package/esm2022/src/app/seguridad/modelos/GrupoAccesoModel.mjs +7 -0
  94. package/esm2022/src/app/seguridad/modelos/GrupoControlFuncionModel.mjs +10 -0
  95. package/esm2022/src/app/seguridad/modelos/GrupoFuenteModel.mjs +13 -0
  96. package/esm2022/src/app/seguridad/modelos/GrupoPermisoModel.mjs +14 -0
  97. package/esm2022/src/app/seguridad/modelos/JoinFromModel.mjs +5 -0
  98. package/esm2022/src/app/seguridad/modelos/ListaServicioModel.mjs +17 -0
  99. package/esm2022/src/app/seguridad/modelos/LlaveForaneaModel.mjs +7 -0
  100. package/esm2022/src/app/seguridad/modelos/LoginModel.mjs +15 -0
  101. package/esm2022/src/app/seguridad/modelos/MensajeSistemaModel.mjs +8 -0
  102. package/esm2022/src/app/seguridad/modelos/MenuPortalModel.mjs +5 -0
  103. package/esm2022/src/app/seguridad/modelos/ModulosModel.mjs +18 -0
  104. package/esm2022/src/app/seguridad/modelos/ObjetoReporteModel.mjs +6 -0
  105. package/esm2022/src/app/seguridad/modelos/PaginaPortalModel.mjs +20 -0
  106. package/esm2022/src/app/seguridad/modelos/ParametroComponenteModel.mjs +9 -0
  107. package/esm2022/src/app/seguridad/modelos/ParametrosGeneralModel.mjs +15 -0
  108. package/esm2022/src/app/seguridad/modelos/PermisoFuncionModel.mjs +9 -0
  109. package/esm2022/src/app/seguridad/modelos/PermisocontenidoModel.mjs +20 -0
  110. package/esm2022/src/app/seguridad/modelos/PeticionModel.mjs +16 -0
  111. package/esm2022/src/app/seguridad/modelos/PreguntaSeguridadModel.mjs +7 -0
  112. package/esm2022/src/app/seguridad/modelos/PropiedadModel.mjs +10 -0
  113. package/esm2022/src/app/seguridad/modelos/PublicacionWebModel.mjs +27 -0
  114. package/esm2022/src/app/seguridad/modelos/PuntoProcesoModel.mjs +7 -0
  115. package/esm2022/src/app/seguridad/modelos/RecursoPunto.mjs +13 -0
  116. package/esm2022/src/app/seguridad/modelos/RecursoPuntoModel.mjs +13 -0
  117. package/esm2022/src/app/seguridad/modelos/ReporteBanda.mjs +8 -0
  118. package/esm2022/src/app/seguridad/modelos/ReporteColumna.mjs +33 -0
  119. package/esm2022/src/app/seguridad/modelos/ReporteColumnario.mjs +69 -0
  120. package/esm2022/src/app/seguridad/modelos/ReporteColumnarioMarcador.mjs +24 -0
  121. package/esm2022/src/app/seguridad/modelos/ReporteEntradaModel.mjs +20 -0
  122. package/esm2022/src/app/seguridad/modelos/ReporteFuenteModel.mjs +124 -0
  123. package/esm2022/src/app/seguridad/modelos/ReporteMarcador.mjs +40 -0
  124. package/esm2022/src/app/seguridad/modelos/ReporteSoporte.mjs +10 -0
  125. package/esm2022/src/app/seguridad/modelos/ReporteTabla.mjs +13 -0
  126. package/esm2022/src/app/seguridad/modelos/RespuestaModel.mjs +9 -0
  127. package/esm2022/src/app/seguridad/modelos/RolModel.mjs +39 -0
  128. package/esm2022/src/app/seguridad/modelos/ServidorCorreoModel.mjs +28 -0
  129. package/esm2022/src/app/seguridad/modelos/SistemaMensajeModel.mjs +9 -0
  130. package/esm2022/src/app/seguridad/modelos/SitioPortalModel.mjs +25 -0
  131. package/esm2022/src/app/seguridad/modelos/TablaFromModel.mjs +5 -0
  132. package/esm2022/src/app/seguridad/modelos/TareasModel.mjs +54 -0
  133. package/esm2022/src/app/seguridad/modelos/TextoModel.mjs +9 -0
  134. package/esm2022/src/app/seguridad/modelos/TipoEtiqueta.mjs +8 -0
  135. package/esm2022/src/app/seguridad/modelos/UnionModel.mjs +12 -0
  136. package/esm2022/src/app/seguridad/modelos/UsuarioModel.mjs +53 -0
  137. package/esm2022/src/app/seguridad/modelos/UsuariogrupoModel.mjs +24 -0
  138. package/esm2022/src/app/seguridad/modelos/aplicacion-propiedad-model.mjs +15 -0
  139. package/esm2022/src/app/seguridad/modelos/contenidoCorreoModel.mjs +10 -0
  140. package/esm2022/src/app/shared/componentes/input-helper-seleccion-plantilla/input-helper-seleccion-plantilla.component.mjs +154 -0
  141. package/esm2022/src/app/shared/servicios/cargar-archivos.service.mjs +54 -0
  142. package/esm2022/src/app/shared/servicios/cargar-mapas.service.mjs +46 -0
  143. package/esm2022/src/app/shared/servicios/encriptado-basico.service.mjs +53 -0
  144. package/esm2022/src/app/shared/servicios/general.service.mjs +104 -0
  145. package/esm2022/src/app/shared/servicios/parametros-navegacion.service.mjs +21 -0
  146. package/esm2022/src/app/webcommon/pages/cargue-plantilla/cargue-plantilla-general/cargue-plantilla-general.component.mjs +378 -0
  147. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/detalle-campo-reporte/detalle-campo-reporte.component.mjs +187 -0
  148. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/detalle-distribucion-reporte/detalle-distribucion-reporte.component.mjs +85 -0
  149. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/detalle-ejecucion-reporte/detalle-ejecucion-reporte.page.mjs +347 -0
  150. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/detalle-entrada-reporte/detalle-entrada-reporte.component.mjs +206 -0
  151. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/detalle-punto-proceso-reporte/detalle-punto-proceso-reporte.component.mjs +329 -0
  152. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/detalle-tipo-reporte/detalle-tipo-reporte.component.mjs +1583 -0
  153. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/listado-ejecucion-reporte/listado-ejecucion-reporte.page.mjs +105 -0
  154. package/esm2022/src/app/webcommon/pages/ejecucion-reporte-externo/listado-ejecucion-reporte-externo/listado-ejecucion-reporte-externo.component.mjs +114 -0
  155. package/esm2022/src/app/webcommon/pages/fuente/importar-fuente-con/importar-fuente-con.component.mjs +588 -0
  156. package/esm2022/src/app/webcommon/pages/fuente/importar-fuente-general/importar-fuente-general.component.mjs +177 -0
  157. package/esm2022/src/app/webcommon/pages/fuente-reporte/fuente-reporte.component.mjs +767 -0
  158. package/esm2022/src/app/webcommon/pages/fuenteGeneral/fuente-general-servicio/fuente-general-servicio.component.mjs +331 -0
  159. package/esm2022/src/app/webcommon/pages/fuenteGeneral/listado-fuente-general/listado-fuente-general.page.mjs +96 -0
  160. package/esm2022/src/app/webcommon/pages/fuenteGeneral/mantenimiento-fuente-general/mantenimiento-fuente-general.page.mjs +675 -0
  161. package/esm2022/src/app/webcommon/pipes/dashboard.pipe.mjs +86 -0
  162. package/esm2022/src/app/webcommon/pipes/fuente.pipe.mjs +201 -0
  163. package/esm2022/src/app/webcommon/pipes/general.pipe.mjs +916 -0
  164. package/esm2022/src/app/webcommon/pipes/reporte-columnario.pipe.mjs +115 -0
  165. package/esm2022/src/app/webcommon/pipes/reporte-fuente.pipe.mjs +301 -0
  166. package/esm2022/src/app/webcommon/popups/popup-campos-fuente-general/popup-campos-fuente-general.component.mjs +267 -0
  167. package/esm2022/src/app/webcommon/popups/popup-convertir-plantillas/popup-convertir-plantillas.component.mjs +128 -0
  168. package/esm2022/src/app/webcommon/popups/popup-editor-formula/popup-editor-formula.component.mjs +73 -0
  169. package/esm2022/src/app/webcommon/popups/popup-punto-proceso/popup-punto-proceso.component.mjs +293 -0
  170. package/esm2022/src/app/webcommon/popups/popup-recurso/popup-recurso.component.mjs +269 -0
  171. package/esm2022/src/app/webcommon/popups/popup-reporte/popup-reporte.component.mjs +555 -0
  172. package/esm2022/src/app/webcommon/popups/popup-sentencia/popup-sentencia.component.mjs +64 -0
  173. package/esm2022/src/app/webcommon/popups/popup-tablas-fuente/popup-tablas-fuente.component.mjs +162 -0
  174. package/esm2022/src/app/webcommon/popups/punto-proceso-comp/punto-proceso-comp.component.mjs +89 -0
  175. package/esm2022/src/app/webcommon/services/carguelinea.service.mjs +69 -0
  176. package/esm2022/src/app/webcommon/services/dashboard.service.mjs +253 -0
  177. package/esm2022/src/app/webcommon/services/ejecucionreporte.service.mjs +114 -0
  178. package/esm2022/src/app/webcommon/services/fechaUtils.service.mjs +97 -0
  179. package/esm2022/src/app/webcommon/services/fuentegeneral.service.mjs +68 -0
  180. package/esm2022/src/app/webcommon/services/homologacion.service.mjs +50 -0
  181. package/esm2022/src/app/webcommon/services/plantillas.service.mjs +129 -0
  182. package/esm2022/src/app/webcommon/services/reportes.service.mjs +95 -0
  183. package/esm2022/src/app/webcommon/webcommon.module.mjs +15 -0
  184. package/esm2022/src/environments/environment.mjs +24 -0
  185. package/fesm2022/general-library-union.mjs +16036 -0
  186. package/fesm2022/general-library-union.mjs.map +1 -0
  187. package/index.d.ts +5 -0
  188. package/package.json +62 -73
  189. package/{public-api.ts → public-api.d.ts} +161 -201
  190. package/src/app/core/componentes/breadcrumb/breadcrumb.component.d.ts +18 -0
  191. package/src/app/core/componentes/carga-pantalla-completa/carga-pantalla-completa.component.d.ts +14 -0
  192. package/src/app/core/componentes/filtro-general/filtro-general.component.d.ts +34 -0
  193. package/src/app/core/componentes/footer/footer.component.d.ts +9 -0
  194. package/src/app/core/componentes/formulariodinamico/formulariodinamico.component.d.ts +46 -0
  195. package/src/app/core/componentes/formulariodinamico/objetosformulario/{Cambio.ts → Cambio.d.ts} +7 -13
  196. package/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormulario.d.ts +44 -0
  197. package/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormularioCons.d.ts +45 -0
  198. package/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormularioNuevo.d.ts +33 -0
  199. package/src/app/core/componentes/formulariodinamico/objetosformulario/HashDirective.d.ts +9 -0
  200. package/src/app/core/componentes/inicio-component/inicio-component.component.d.ts +26 -0
  201. package/src/app/core/componentes/menu/menu.component.d.ts +16 -0
  202. package/src/app/core/componentes/tabla-general/tabla-general.component.d.ts +32 -0
  203. package/src/app/core/core.module.d.ts +8 -0
  204. package/src/app/core/errores/authentication.error.d.ts +3 -0
  205. package/src/app/core/modelos/error-response.model.d.ts +9 -0
  206. package/src/app/core/modelos/table-column.model.d.ts +12 -0
  207. package/src/app/core/modelos/user.model.d.ts +6 -0
  208. package/src/app/core/plantilla-general/plantilla-general.template.d.ts +89 -0
  209. package/src/app/core/servicios/auth.service.d.ts +52 -0
  210. package/src/app/core/servicios/cargar-control-funcionalidad.service.d.ts +19 -0
  211. package/src/app/core/servicios/data-exporter-table.utils.d.ts +11 -0
  212. package/src/app/core/servicios/error-interceptor.service.d.ts +41 -0
  213. package/src/app/core/servicios/guardias/auth.guard.d.ts +17 -0
  214. package/src/app/core/servicios/guardias/publico.guard.d.ts +13 -0
  215. package/src/app/core/servicios/interceptores/loading-interceptor.d.ts +11 -0
  216. package/src/app/core/servicios/interceptores/token-interceptor.service.d.ts +26 -0
  217. package/src/app/core/servicios/loading.service.d.ts +22 -0
  218. package/src/app/core/servicios/menu.service.d.ts +35 -0
  219. package/src/app/core/servicios/spinner-service.d.ts +9 -0
  220. package/src/app/core/servicios/storage.service.d.ts +37 -0
  221. package/src/app/core/servicios/template.service.d.ts +20 -0
  222. package/src/app/core/servicios/toast.service.d.ts +48 -0
  223. package/src/app/core/servicios/utils.service.d.ts +43 -0
  224. package/src/app/core/sharedlib.module.d.ts +6 -0
  225. package/src/app/core/utilidades/color.util.d.ts +70 -0
  226. package/src/app/layout/api/{menuchangeevent.ts → menuchangeevent.d.ts} +4 -4
  227. package/src/app/layout/app.breadcrumb.component.d.ts +28 -0
  228. package/src/app/layout/app.footer.component.d.ts +8 -0
  229. package/src/app/layout/app.layout.component.d.ts +39 -0
  230. package/src/app/layout/app.layout.module.d.ts +6 -0
  231. package/src/app/layout/app.menu.component.d.ts +19 -0
  232. package/src/app/layout/app.menu.service.d.ts +12 -0
  233. package/src/app/layout/app.menuitem.component.d.ts +41 -0
  234. package/src/app/layout/app.menuprofile.component.d.ts +18 -0
  235. package/src/app/layout/app.rightmenu.component.d.ts +10 -0
  236. package/src/app/layout/app.sidebar.component.d.ts +34 -0
  237. package/src/app/layout/app.topbar.component.d.ts +79 -0
  238. package/src/app/layout/service/app.layout.service.d.ts +57 -0
  239. package/src/app/publico/pages/login/login.page.d.ts +40 -0
  240. package/src/app/publico/pages/not-found/not-found.page.d.ts +17 -0
  241. package/src/app/publico/pages/seleccion-rol/seleccion-rol.component.d.ts +41 -0
  242. package/src/app/publico/pages/timeout/timeout.page.d.ts +10 -0
  243. package/src/app/seguridad/constantes/ConstantesGenerales.d.ts +25 -0
  244. package/src/app/seguridad/constantes/ReporteEntradaConstantes.d.ts +7 -0
  245. package/src/app/seguridad/modelos/AplicacionModel.d.ts +7 -0
  246. package/src/app/seguridad/modelos/ArchivoPortalModel.d.ts +13 -0
  247. package/src/app/seguridad/modelos/AtributoPuntoModel.d.ts +8 -0
  248. package/src/app/seguridad/modelos/AtributoSSOportalModel.d.ts +16 -0
  249. package/src/app/seguridad/modelos/{AuditoriaArchivoModel.ts → AuditoriaArchivoModel.d.ts} +10 -13
  250. package/src/app/seguridad/modelos/CargueLineaModel.d.ts +12 -0
  251. package/src/app/seguridad/modelos/ColoresRGB.d.ts +17 -0
  252. package/src/app/seguridad/modelos/ColumnaFuenteModel.d.ts +8 -0
  253. package/src/app/seguridad/modelos/{ColumnaWraperModel.ts → ColumnaWraperModel.d.ts} +6 -7
  254. package/src/app/seguridad/modelos/ComplementoModel.d.ts +6 -0
  255. package/src/app/seguridad/modelos/ComponentePaginaModel.d.ts +20 -0
  256. package/src/app/seguridad/modelos/ConexionModel.d.ts +13 -0
  257. package/src/app/seguridad/modelos/{ContenidoHtmlPortalModel.ts → ContenidoHtmlPortalModel.d.ts} +20 -25
  258. package/src/app/seguridad/modelos/ControlFuncionModel.d.ts +7 -0
  259. package/src/app/seguridad/modelos/CorreoModel.d.ts +31 -0
  260. package/src/app/seguridad/modelos/CorreoWSModel.d.ts +33 -0
  261. package/src/app/seguridad/modelos/CruceRecursoPuntoModel.d.ts +13 -0
  262. package/src/app/seguridad/modelos/{DatoInicioModel.ts → DatoInicioModel.d.ts} +6 -7
  263. package/src/app/seguridad/modelos/{EjecucionReporteDataModel.ts → EjecucionReporteDataModel.d.ts} +20 -21
  264. package/src/app/seguridad/modelos/EmpresaModel.d.ts +23 -0
  265. package/src/app/seguridad/modelos/EmpresaSeguridadModel.d.ts +18 -0
  266. package/src/app/seguridad/modelos/{EntradaComplementoModel.ts → EntradaComplementoModel.d.ts} +6 -9
  267. package/src/app/seguridad/modelos/EtiquetaModel.d.ts +4 -0
  268. package/src/app/seguridad/modelos/Etiquetas.d.ts +10 -0
  269. package/src/app/seguridad/modelos/{ForeingWraperModel.ts → ForeingWraperModel.d.ts} +6 -9
  270. package/src/app/seguridad/modelos/FuenteCampoAtributoModel.d.ts +15 -0
  271. package/src/app/seguridad/modelos/{FuenteCampoModel.ts → FuenteCampoModel.d.ts} +16 -20
  272. package/src/app/seguridad/modelos/FuenteDato.d.ts +18 -0
  273. package/src/app/seguridad/modelos/FuenteEntradaModel.d.ts +8 -0
  274. package/src/app/seguridad/modelos/{FuenteGeneralModel.ts → FuenteGeneralModel.d.ts} +36 -55
  275. package/src/app/seguridad/modelos/FuenteLineaServicioModel.d.ts +16 -0
  276. package/src/app/seguridad/modelos/FuenteProcesoGeneralModel.d.ts +10 -0
  277. package/src/app/seguridad/modelos/{FuenteServicioModel.ts → FuenteServicioModel.d.ts} +47 -61
  278. package/src/app/seguridad/modelos/FuncionalidadModel.d.ts +8 -0
  279. package/src/app/seguridad/modelos/GeneralModel.d.ts +8 -0
  280. package/src/app/seguridad/modelos/{GrupoAccesoModel.ts → GrupoAccesoModel.d.ts} +6 -9
  281. package/src/app/seguridad/modelos/GrupoControlFuncionModel.d.ts +9 -0
  282. package/src/app/seguridad/modelos/GrupoFuenteModel.d.ts +13 -0
  283. package/src/app/seguridad/modelos/GrupoPermisoModel.d.ts +13 -0
  284. package/src/app/seguridad/modelos/{JoinFromModel.ts → JoinFromModel.d.ts} +4 -4
  285. package/src/app/seguridad/modelos/ListaServicioModel.d.ts +16 -0
  286. package/src/app/seguridad/modelos/LlaveForaneaModel.d.ts +6 -0
  287. package/src/app/seguridad/modelos/LoginModel.d.ts +17 -0
  288. package/src/app/seguridad/modelos/MensajeSistemaModel.d.ts +7 -0
  289. package/src/app/seguridad/modelos/{MenuPortalModel.ts → MenuPortalModel.d.ts} +4 -5
  290. package/src/app/seguridad/modelos/ModulosModel.d.ts +14 -0
  291. package/src/app/seguridad/modelos/{ObjetoReporteModel.ts → ObjetoReporteModel.d.ts} +5 -6
  292. package/src/app/seguridad/modelos/PaginaPortalModel.d.ts +19 -0
  293. package/src/app/seguridad/modelos/ParametroComponenteModel.d.ts +8 -0
  294. package/src/app/seguridad/modelos/ParametrosGeneralModel.d.ts +8 -0
  295. package/src/app/seguridad/modelos/PermisoFuncionModel.d.ts +8 -0
  296. package/src/app/seguridad/modelos/PermisocontenidoModel.d.ts +18 -0
  297. package/src/app/seguridad/modelos/{PeticionModel.ts → PeticionModel.d.ts} +15 -20
  298. package/src/app/seguridad/modelos/PreguntaSeguridadModel.d.ts +6 -0
  299. package/src/app/seguridad/modelos/PropiedadModel.d.ts +9 -0
  300. package/src/app/seguridad/modelos/PublicacionWebModel.d.ts +25 -0
  301. package/src/app/seguridad/modelos/PuntoProcesoModel.d.ts +6 -0
  302. package/src/app/seguridad/modelos/RecursoPunto.d.ts +12 -0
  303. package/src/app/seguridad/modelos/RecursoPuntoModel.d.ts +12 -0
  304. package/src/app/seguridad/modelos/ReporteBanda.d.ts +7 -0
  305. package/src/app/seguridad/modelos/ReporteColumna.d.ts +32 -0
  306. package/src/app/seguridad/modelos/ReporteColumnario.d.ts +68 -0
  307. package/src/app/seguridad/modelos/ReporteColumnarioMarcador.d.ts +23 -0
  308. package/src/app/seguridad/modelos/{ReporteEntradaModel.ts → ReporteEntradaModel.d.ts} +19 -21
  309. package/src/app/seguridad/modelos/{ReporteFuenteModel.ts → ReporteFuenteModel.d.ts} +87 -141
  310. package/src/app/seguridad/modelos/ReporteMarcador.d.ts +39 -0
  311. package/src/app/seguridad/modelos/ReporteSoporte.d.ts +9 -0
  312. package/src/app/seguridad/modelos/ReporteTabla.d.ts +12 -0
  313. package/src/app/seguridad/modelos/RespuestaModel.d.ts +8 -0
  314. package/src/app/seguridad/modelos/{RolModel.ts → RolModel.d.ts} +37 -41
  315. package/src/app/seguridad/modelos/ServidorCorreoModel.d.ts +25 -0
  316. package/src/app/seguridad/modelos/SistemaMensajeModel.d.ts +8 -0
  317. package/src/app/seguridad/modelos/SitioPortalModel.d.ts +24 -0
  318. package/src/app/seguridad/modelos/TablaFromModel.d.ts +4 -0
  319. package/src/app/seguridad/modelos/TareasModel.d.ts +49 -0
  320. package/src/app/seguridad/modelos/TextoModel.d.ts +8 -0
  321. package/src/app/seguridad/modelos/TipoEtiqueta.d.ts +7 -0
  322. package/src/app/seguridad/modelos/UnionModel.d.ts +11 -0
  323. package/src/app/seguridad/modelos/{UsuarioModel.ts → UsuarioModel.d.ts} +49 -60
  324. package/src/app/seguridad/modelos/UsuariogrupoModel.d.ts +22 -0
  325. package/src/app/seguridad/modelos/aplicacion-propiedad-model.d.ts +13 -0
  326. package/src/app/seguridad/modelos/contenidoCorreoModel.d.ts +9 -0
  327. package/src/app/shared/componentes/input-helper-seleccion-plantilla/input-helper-seleccion-plantilla.component.d.ts +47 -0
  328. package/src/app/shared/servicios/cargar-archivos.service.d.ts +15 -0
  329. package/src/app/shared/servicios/cargar-mapas.service.d.ts +18 -0
  330. package/src/app/shared/servicios/encriptado-basico.service.d.ts +10 -0
  331. package/src/app/shared/servicios/general.service.d.ts +24 -0
  332. package/src/app/shared/servicios/parametros-navegacion.service.d.ts +9 -0
  333. package/src/app/webcommon/pages/cargue-plantilla/cargue-plantilla-general/cargue-plantilla-general.component.d.ts +79 -0
  334. package/src/app/webcommon/pages/ejecucion-reporte/detalle-campo-reporte/detalle-campo-reporte.component.d.ts +79 -0
  335. package/src/app/webcommon/pages/ejecucion-reporte/detalle-distribucion-reporte/detalle-distribucion-reporte.component.d.ts +29 -0
  336. package/src/app/webcommon/pages/ejecucion-reporte/detalle-ejecucion-reporte/detalle-ejecucion-reporte.page.d.ts +69 -0
  337. package/src/app/webcommon/pages/ejecucion-reporte/detalle-entrada-reporte/detalle-entrada-reporte.component.d.ts +51 -0
  338. package/src/app/webcommon/pages/ejecucion-reporte/detalle-punto-proceso-reporte/detalle-punto-proceso-reporte.component.d.ts +77 -0
  339. package/src/app/webcommon/pages/ejecucion-reporte/detalle-tipo-reporte/detalle-tipo-reporte.component.d.ts +278 -0
  340. package/src/app/webcommon/pages/ejecucion-reporte/listado-ejecucion-reporte/listado-ejecucion-reporte.page.d.ts +42 -0
  341. package/src/app/webcommon/pages/ejecucion-reporte-externo/listado-ejecucion-reporte-externo/listado-ejecucion-reporte-externo.component.d.ts +35 -0
  342. package/src/app/webcommon/pages/fuente/importar-fuente-con/importar-fuente-con.component.d.ts +143 -0
  343. package/src/app/webcommon/pages/fuente/importar-fuente-general/importar-fuente-general.component.d.ts +49 -0
  344. package/src/app/webcommon/pages/fuente-reporte/fuente-reporte.component.d.ts +131 -0
  345. package/src/app/webcommon/pages/fuenteGeneral/fuente-general-servicio/fuente-general-servicio.component.d.ts +64 -0
  346. package/src/app/webcommon/pages/fuenteGeneral/listado-fuente-general/listado-fuente-general.page.d.ts +33 -0
  347. package/src/app/webcommon/pages/fuenteGeneral/mantenimiento-fuente-general/mantenimiento-fuente-general.page.d.ts +149 -0
  348. package/src/app/webcommon/pipes/dashboard.pipe.d.ts +48 -0
  349. package/src/app/webcommon/pipes/fuente.pipe.d.ts +136 -0
  350. package/src/app/webcommon/pipes/general.pipe.d.ts +646 -0
  351. package/src/app/webcommon/pipes/reporte-columnario.pipe.d.ts +59 -0
  352. package/src/app/webcommon/pipes/reporte-fuente.pipe.d.ts +193 -0
  353. package/src/app/webcommon/popups/popup-campos-fuente-general/popup-campos-fuente-general.component.d.ts +59 -0
  354. package/src/app/webcommon/popups/popup-convertir-plantillas/popup-convertir-plantillas.component.d.ts +27 -0
  355. package/src/app/webcommon/popups/popup-editor-formula/popup-editor-formula.component.d.ts +21 -0
  356. package/src/app/webcommon/popups/popup-punto-proceso/popup-punto-proceso.component.d.ts +64 -0
  357. package/src/app/webcommon/popups/popup-recurso/popup-recurso.component.d.ts +63 -0
  358. package/src/app/webcommon/popups/popup-reporte/popup-reporte.component.d.ts +105 -0
  359. package/src/app/webcommon/popups/popup-sentencia/popup-sentencia.component.d.ts +21 -0
  360. package/src/app/webcommon/popups/popup-tablas-fuente/popup-tablas-fuente.component.d.ts +42 -0
  361. package/src/app/webcommon/popups/punto-proceso-comp/punto-proceso-comp.component.d.ts +30 -0
  362. package/src/app/webcommon/services/carguelinea.service.d.ts +19 -0
  363. package/src/app/webcommon/services/dashboard.service.d.ts +27 -0
  364. package/src/app/webcommon/services/ejecucionreporte.service.d.ts +34 -0
  365. package/src/app/webcommon/services/fechaUtils.service.d.ts +30 -0
  366. package/src/app/webcommon/services/fuentegeneral.service.d.ts +24 -0
  367. package/src/app/webcommon/services/homologacion.service.d.ts +17 -0
  368. package/src/app/webcommon/services/plantillas.service.d.ts +26 -0
  369. package/src/app/webcommon/services/reportes.service.d.ts +35 -0
  370. package/src/app/webcommon/webcommon.module.d.ts +6 -0
  371. package/src/environments/environment.d.ts +7 -0
  372. package/src/styles/primeng/sass/overrides/_theme_styles.scss +1 -3
  373. package/.browserslistrc +0 -15
  374. package/.editorconfig +0 -16
  375. package/.vscode/extensions.json +0 -4
  376. package/.vscode/launch.json +0 -20
  377. package/.vscode/tasks.json +0 -42
  378. package/angular.json +0 -143
  379. package/karma.conf.js +0 -44
  380. package/ng-package.json +0 -21
  381. package/src/app/app.component.html +0 -12
  382. package/src/app/app.component.scss +0 -0
  383. package/src/app/app.component.spec.ts +0 -29
  384. package/src/app/app.component.ts +0 -34
  385. package/src/app/app.config.ts +0 -43
  386. package/src/app/app.module.ts +0 -10
  387. package/src/app/app.routes.ts +0 -88
  388. package/src/app/core/componentes/breadcrumb/breadcrumb.component.html +0 -6
  389. package/src/app/core/componentes/breadcrumb/breadcrumb.component.scss +0 -0
  390. package/src/app/core/componentes/breadcrumb/breadcrumb.component.ts +0 -39
  391. package/src/app/core/componentes/carga-breadcrumb/carga-breadcrumb.component.html +0 -1
  392. package/src/app/core/componentes/carga-breadcrumb/carga-breadcrumb.component.scss +0 -0
  393. package/src/app/core/componentes/carga-breadcrumb/carga-breadcrumb.component.ts +0 -31
  394. package/src/app/core/componentes/carga-pantalla-completa/carga-pantalla-completa.component.html +0 -9
  395. package/src/app/core/componentes/carga-pantalla-completa/carga-pantalla-completa.component.scss +0 -28
  396. package/src/app/core/componentes/carga-pantalla-completa/carga-pantalla-completa.component.ts +0 -32
  397. package/src/app/core/componentes/filtro-general/filtro-general.component.html +0 -36
  398. package/src/app/core/componentes/filtro-general/filtro-general.component.scss +0 -3
  399. package/src/app/core/componentes/filtro-general/filtro-general.component.ts +0 -203
  400. package/src/app/core/componentes/footer/footer.component.html +0 -13
  401. package/src/app/core/componentes/footer/footer.component.scss +0 -3
  402. package/src/app/core/componentes/footer/footer.component.ts +0 -20
  403. package/src/app/core/componentes/formulariodinamico/formulariodinamico.component.html +0 -267
  404. package/src/app/core/componentes/formulariodinamico/formulariodinamico.component.scss +0 -21
  405. package/src/app/core/componentes/formulariodinamico/formulariodinamico.component.ts +0 -275
  406. package/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormulario.ts +0 -118
  407. package/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormularioCons.ts +0 -76
  408. package/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormularioNuevo.ts +0 -67
  409. package/src/app/core/componentes/formulariodinamico/objetosformulario/HashDirective.ts +0 -11
  410. package/src/app/core/componentes/inicio-component/inicio-component.component.html +0 -29
  411. package/src/app/core/componentes/inicio-component/inicio-component.component.scss +0 -69
  412. package/src/app/core/componentes/inicio-component/inicio-component.component.ts +0 -70
  413. package/src/app/core/componentes/menu/menu.component.html +0 -13
  414. package/src/app/core/componentes/menu/menu.component.scss +0 -36
  415. package/src/app/core/componentes/menu/menu.component.ts +0 -35
  416. package/src/app/core/componentes/tabla-general/tabla-general.component.html +0 -90
  417. package/src/app/core/componentes/tabla-general/tabla-general.component.scss +0 -0
  418. package/src/app/core/componentes/tabla-general/tabla-general.component.ts +0 -96
  419. package/src/app/core/core.module.ts +0 -22
  420. package/src/app/core/errores/authentication.error.ts +0 -6
  421. package/src/app/core/modelos/error-response.model.ts +0 -9
  422. package/src/app/core/modelos/table-column.model.ts +0 -10
  423. package/src/app/core/modelos/user.model.ts +0 -6
  424. package/src/app/core/plantilla-general/plantilla-general.template.html +0 -139
  425. package/src/app/core/plantilla-general/plantilla-general.template.scss +0 -37
  426. package/src/app/core/plantilla-general/plantilla-general.template.ts +0 -233
  427. package/src/app/core/servicios/auth.service.ts +0 -189
  428. package/src/app/core/servicios/cargar-control-funcionalidad.service.ts +0 -49
  429. package/src/app/core/servicios/data-exporter-table.utils.ts +0 -78
  430. package/src/app/core/servicios/error-interceptor.service.ts +0 -208
  431. package/src/app/core/servicios/guardias/auth.guard.ts +0 -51
  432. package/src/app/core/servicios/guardias/publico.guard.ts +0 -26
  433. package/src/app/core/servicios/interceptores/loading-interceptor.ts +0 -37
  434. package/src/app/core/servicios/interceptores/token-interceptor.service.ts +0 -177
  435. package/src/app/core/servicios/loading.service.ts +0 -48
  436. package/src/app/core/servicios/menu.service.ts +0 -153
  437. package/src/app/core/servicios/spinner-service.ts +0 -18
  438. package/src/app/core/servicios/storage.service.ts +0 -68
  439. package/src/app/core/servicios/template.service.ts +0 -91
  440. package/src/app/core/servicios/toast.service.ts +0 -114
  441. package/src/app/core/servicios/utils.service.ts +0 -367
  442. package/src/app/core/sharedlib.module.ts +0 -11
  443. package/src/app/core/utilidades/color.util.ts +0 -197
  444. package/src/app/layout/app.breadcrumb.component.html +0 -20
  445. package/src/app/layout/app.breadcrumb.component.ts +0 -78
  446. package/src/app/layout/app.footer.component.html +0 -18
  447. package/src/app/layout/app.footer.component.ts +0 -15
  448. package/src/app/layout/app.layout.component.html +0 -20
  449. package/src/app/layout/app.layout.component.ts +0 -201
  450. package/src/app/layout/app.layout.module.ts +0 -9
  451. package/src/app/layout/app.menu.component.html +0 -6
  452. package/src/app/layout/app.menu.component.ts +0 -51
  453. package/src/app/layout/app.menu.service.ts +0 -23
  454. package/src/app/layout/app.menuitem.component.ts +0 -252
  455. package/src/app/layout/app.menuprofile.component.html +0 -35
  456. package/src/app/layout/app.menuprofile.component.ts +0 -60
  457. package/src/app/layout/app.rightmenu.component.html +0 -72
  458. package/src/app/layout/app.rightmenu.component.ts +0 -24
  459. package/src/app/layout/app.sidebar.component.html +0 -40
  460. package/src/app/layout/app.sidebar.component.ts +0 -81
  461. package/src/app/layout/app.topbar.component.html +0 -214
  462. package/src/app/layout/app.topbar.component.ts +0 -336
  463. package/src/app/layout/config/app.config.component.html +0 -125
  464. package/src/app/layout/config/app.config.component.ts +0 -206
  465. package/src/app/layout/config/app.config.module.ts +0 -11
  466. package/src/app/layout/service/app.layout.service.ts +0 -191
  467. package/src/app/publico/pages/login/login.page.html +0 -53
  468. package/src/app/publico/pages/login/login.page.scss +0 -166
  469. package/src/app/publico/pages/login/login.page.ts +0 -128
  470. package/src/app/publico/pages/not-found/not-found.page.html +0 -35
  471. package/src/app/publico/pages/not-found/not-found.page.scss +0 -2
  472. package/src/app/publico/pages/not-found/not-found.page.ts +0 -46
  473. package/src/app/publico/pages/seleccion-rol/seleccion-rol.component.html +0 -58
  474. package/src/app/publico/pages/seleccion-rol/seleccion-rol.component.scss +0 -49
  475. package/src/app/publico/pages/seleccion-rol/seleccion-rol.component.ts +0 -176
  476. package/src/app/publico/pages/timeout/timeout.page.html +0 -24
  477. package/src/app/publico/pages/timeout/timeout.page.scss +0 -2
  478. package/src/app/publico/pages/timeout/timeout.page.ts +0 -18
  479. package/src/app/seguridad/constantes/ConstantesGenerales.ts +0 -30
  480. package/src/app/seguridad/constantes/ReporteEntradaConstantes.ts +0 -18
  481. package/src/app/seguridad/constantes/ReporteFuenteConstantes.ts +0 -13
  482. package/src/app/seguridad/modelos/AplicacionModel.ts +0 -11
  483. package/src/app/seguridad/modelos/ArchivoPortalModel.ts +0 -16
  484. package/src/app/seguridad/modelos/AtributoPuntoModel.ts +0 -9
  485. package/src/app/seguridad/modelos/AtributoSSOportalModel.ts +0 -22
  486. package/src/app/seguridad/modelos/CargueLineaModel.ts +0 -17
  487. package/src/app/seguridad/modelos/ColoresRGB.ts +0 -17
  488. package/src/app/seguridad/modelos/ColumnaFuenteModel.ts +0 -9
  489. package/src/app/seguridad/modelos/ComplementoModel.ts +0 -10
  490. package/src/app/seguridad/modelos/ComponentePaginaModel.ts +0 -22
  491. package/src/app/seguridad/modelos/ConexionModel.ts +0 -15
  492. package/src/app/seguridad/modelos/ControlFuncionModel.ts +0 -10
  493. package/src/app/seguridad/modelos/CorreoModel.ts +0 -45
  494. package/src/app/seguridad/modelos/CorreoWSModel.ts +0 -49
  495. package/src/app/seguridad/modelos/CruceRecursoPuntoModel.ts +0 -14
  496. package/src/app/seguridad/modelos/EmpresaModel.ts +0 -25
  497. package/src/app/seguridad/modelos/EmpresaSeguridadModel.ts +0 -19
  498. package/src/app/seguridad/modelos/EtiquetaModel.ts +0 -4
  499. package/src/app/seguridad/modelos/Etiquetas.ts +0 -14
  500. package/src/app/seguridad/modelos/FuenteCampoAtributoModel.ts +0 -21
  501. package/src/app/seguridad/modelos/FuenteDato.ts +0 -19
  502. package/src/app/seguridad/modelos/FuenteEntradaModel.ts +0 -9
  503. package/src/app/seguridad/modelos/FuenteLineaServicioModel.ts +0 -19
  504. package/src/app/seguridad/modelos/FuenteProcesoGeneralModel.ts +0 -14
  505. package/src/app/seguridad/modelos/FuncionalidadModel.ts +0 -12
  506. package/src/app/seguridad/modelos/GeneralModel.ts +0 -16
  507. package/src/app/seguridad/modelos/GrupoControlFuncionModel.ts +0 -12
  508. package/src/app/seguridad/modelos/GrupoFuenteModel.ts +0 -17
  509. package/src/app/seguridad/modelos/GrupoPermisoModel.ts +0 -16
  510. package/src/app/seguridad/modelos/ListaServicioModel.ts +0 -18
  511. package/src/app/seguridad/modelos/LlaveForaneaModel.ts +0 -6
  512. package/src/app/seguridad/modelos/LoginModel.ts +0 -19
  513. package/src/app/seguridad/modelos/MensajeSistemaModel.ts +0 -10
  514. package/src/app/seguridad/modelos/ModulosModel.ts +0 -17
  515. package/src/app/seguridad/modelos/PaginaPortalModel.ts +0 -23
  516. package/src/app/seguridad/modelos/ParametroComponenteModel.ts +0 -9
  517. package/src/app/seguridad/modelos/ParametrosGeneralModel.ts +0 -16
  518. package/src/app/seguridad/modelos/PermisoFuncionModel.ts +0 -11
  519. package/src/app/seguridad/modelos/PermisocontenidoModel.ts +0 -20
  520. package/src/app/seguridad/modelos/PreguntaSeguridadModel.ts +0 -9
  521. package/src/app/seguridad/modelos/PropiedadModel.ts +0 -12
  522. package/src/app/seguridad/modelos/PublicacionWebModel.ts +0 -29
  523. package/src/app/seguridad/modelos/PuntoProcesoModel.ts +0 -7
  524. package/src/app/seguridad/modelos/RecursoPunto.ts +0 -13
  525. package/src/app/seguridad/modelos/RecursoPuntoModel.ts +0 -13
  526. package/src/app/seguridad/modelos/ReporteBanda.ts +0 -9
  527. package/src/app/seguridad/modelos/ReporteColumna.ts +0 -33
  528. package/src/app/seguridad/modelos/ReporteColumnario.ts +0 -82
  529. package/src/app/seguridad/modelos/ReporteColumnarioMarcador.ts +0 -31
  530. package/src/app/seguridad/modelos/ReporteMarcador.ts +0 -40
  531. package/src/app/seguridad/modelos/ReporteSoporte.ts +0 -10
  532. package/src/app/seguridad/modelos/ReporteTabla.ts +0 -14
  533. package/src/app/seguridad/modelos/RespuestaModel.ts +0 -10
  534. package/src/app/seguridad/modelos/ServidorCorreoModel.ts +0 -31
  535. package/src/app/seguridad/modelos/SistemaMensajeModel.ts +0 -11
  536. package/src/app/seguridad/modelos/SitioPortalModel.ts +0 -29
  537. package/src/app/seguridad/modelos/TablaFromModel.ts +0 -5
  538. package/src/app/seguridad/modelos/TareasModel.ts +0 -54
  539. package/src/app/seguridad/modelos/TextoModel.ts +0 -11
  540. package/src/app/seguridad/modelos/TipoEtiqueta.ts +0 -8
  541. package/src/app/seguridad/modelos/UnionModel.ts +0 -14
  542. package/src/app/seguridad/modelos/UsuariogrupoModel.ts +0 -27
  543. package/src/app/seguridad/modelos/aplicacion-propiedad-model.ts +0 -16
  544. package/src/app/seguridad/modelos/contenidoCorreoModel.ts +0 -13
  545. package/src/app/shared/componentes/input-helper-seleccion-plantilla/input-helper-seleccion-plantilla.component.html +0 -47
  546. package/src/app/shared/componentes/input-helper-seleccion-plantilla/input-helper-seleccion-plantilla.component.scss +0 -8
  547. package/src/app/shared/componentes/input-helper-seleccion-plantilla/input-helper-seleccion-plantilla.component.ts +0 -144
  548. package/src/app/shared/servicios/cargar-archivos.service.ts +0 -51
  549. package/src/app/shared/servicios/cargar-mapas.service.ts +0 -43
  550. package/src/app/shared/servicios/encriptado-basico.service.ts +0 -54
  551. package/src/app/shared/servicios/encriptador-simetrico.service.ts +0 -29
  552. package/src/app/shared/servicios/general.service.ts +0 -124
  553. package/src/app/shared/servicios/parametros-navegacion.service.ts +0 -20
  554. package/src/app/shared/servicios/plantillas.service.ts +0 -22
  555. package/src/app/shared/servicios/tarea.service.ts +0 -71
  556. package/src/app/shared/utilidades/translate-loader.util.ts +0 -44
  557. package/src/app/webcommon/pages/cargue-plantilla/cargue-plantilla-general/cargue-plantilla-general.component.html +0 -175
  558. package/src/app/webcommon/pages/cargue-plantilla/cargue-plantilla-general/cargue-plantilla-general.component.scss +0 -78
  559. package/src/app/webcommon/pages/cargue-plantilla/cargue-plantilla-general/cargue-plantilla-general.component.ts +0 -438
  560. package/src/app/webcommon/pages/ejecucion-reporte/detalle-campo-reporte/detalle-campo-reporte.component.html +0 -87
  561. package/src/app/webcommon/pages/ejecucion-reporte/detalle-campo-reporte/detalle-campo-reporte.component.scss +0 -0
  562. package/src/app/webcommon/pages/ejecucion-reporte/detalle-campo-reporte/detalle-campo-reporte.component.ts +0 -189
  563. package/src/app/webcommon/pages/ejecucion-reporte/detalle-distribucion-reporte/detalle-distribucion-reporte.component.html +0 -117
  564. package/src/app/webcommon/pages/ejecucion-reporte/detalle-distribucion-reporte/detalle-distribucion-reporte.component.scss +0 -0
  565. package/src/app/webcommon/pages/ejecucion-reporte/detalle-distribucion-reporte/detalle-distribucion-reporte.component.ts +0 -87
  566. package/src/app/webcommon/pages/ejecucion-reporte/detalle-ejecucion-reporte/detalle-ejecucion-reporte.page.html +0 -158
  567. package/src/app/webcommon/pages/ejecucion-reporte/detalle-ejecucion-reporte/detalle-ejecucion-reporte.page.scss +0 -6
  568. package/src/app/webcommon/pages/ejecucion-reporte/detalle-ejecucion-reporte/detalle-ejecucion-reporte.page.ts +0 -345
  569. package/src/app/webcommon/pages/ejecucion-reporte/detalle-entrada-reporte/detalle-entrada-reporte.component.html +0 -131
  570. package/src/app/webcommon/pages/ejecucion-reporte/detalle-entrada-reporte/detalle-entrada-reporte.component.scss +0 -0
  571. package/src/app/webcommon/pages/ejecucion-reporte/detalle-entrada-reporte/detalle-entrada-reporte.component.ts +0 -198
  572. package/src/app/webcommon/pages/ejecucion-reporte/detalle-punto-proceso-reporte/detalle-punto-proceso-reporte.component.html +0 -206
  573. package/src/app/webcommon/pages/ejecucion-reporte/detalle-punto-proceso-reporte/detalle-punto-proceso-reporte.component.scss +0 -3
  574. package/src/app/webcommon/pages/ejecucion-reporte/detalle-punto-proceso-reporte/detalle-punto-proceso-reporte.component.ts +0 -330
  575. package/src/app/webcommon/pages/ejecucion-reporte/detalle-tipo-reporte/detalle-tipo-reporte.component.html +0 -2121
  576. package/src/app/webcommon/pages/ejecucion-reporte/detalle-tipo-reporte/detalle-tipo-reporte.component.scss +0 -241
  577. package/src/app/webcommon/pages/ejecucion-reporte/detalle-tipo-reporte/detalle-tipo-reporte.component.ts +0 -1694
  578. package/src/app/webcommon/pages/ejecucion-reporte/listado-ejecucion-reporte/listado-ejecucion-reporte.page.html +0 -33
  579. package/src/app/webcommon/pages/ejecucion-reporte/listado-ejecucion-reporte/listado-ejecucion-reporte.page.scss +0 -0
  580. package/src/app/webcommon/pages/ejecucion-reporte/listado-ejecucion-reporte/listado-ejecucion-reporte.page.ts +0 -96
  581. package/src/app/webcommon/pages/ejecucion-reporte-externo/listado-ejecucion-reporte-externo/listado-ejecucion-reporte-externo.component.html +0 -54
  582. package/src/app/webcommon/pages/ejecucion-reporte-externo/listado-ejecucion-reporte-externo/listado-ejecucion-reporte-externo.component.scss +0 -0
  583. package/src/app/webcommon/pages/ejecucion-reporte-externo/listado-ejecucion-reporte-externo/listado-ejecucion-reporte-externo.component.ts +0 -111
  584. package/src/app/webcommon/pages/fuente/importar-fuente-con/importar-fuente-con.component.html +0 -394
  585. package/src/app/webcommon/pages/fuente/importar-fuente-con/importar-fuente-con.component.scss +0 -10
  586. package/src/app/webcommon/pages/fuente/importar-fuente-con/importar-fuente-con.component.ts +0 -599
  587. package/src/app/webcommon/pages/fuente/importar-fuente-general/importar-fuente-general.component.html +0 -130
  588. package/src/app/webcommon/pages/fuente/importar-fuente-general/importar-fuente-general.component.scss +0 -0
  589. package/src/app/webcommon/pages/fuente/importar-fuente-general/importar-fuente-general.component.ts +0 -172
  590. package/src/app/webcommon/pages/fuente-reporte/fuente-reporte.component.html +0 -403
  591. package/src/app/webcommon/pages/fuente-reporte/fuente-reporte.component.scss +0 -5
  592. package/src/app/webcommon/pages/fuente-reporte/fuente-reporte.component.ts +0 -761
  593. package/src/app/webcommon/pages/fuenteGeneral/fuente-general-servicio/fuente-general-servicio.component.html +0 -145
  594. package/src/app/webcommon/pages/fuenteGeneral/fuente-general-servicio/fuente-general-servicio.component.scss +0 -0
  595. package/src/app/webcommon/pages/fuenteGeneral/fuente-general-servicio/fuente-general-servicio.component.ts +0 -302
  596. package/src/app/webcommon/pages/fuenteGeneral/listado-fuente-general/listado-fuente-general.page.html +0 -19
  597. package/src/app/webcommon/pages/fuenteGeneral/listado-fuente-general/listado-fuente-general.page.scss +0 -0
  598. package/src/app/webcommon/pages/fuenteGeneral/listado-fuente-general/listado-fuente-general.page.ts +0 -89
  599. package/src/app/webcommon/pages/fuenteGeneral/mantenimiento-fuente-general/mantenimiento-fuente-general.page.html +0 -714
  600. package/src/app/webcommon/pages/fuenteGeneral/mantenimiento-fuente-general/mantenimiento-fuente-general.page.scss +0 -0
  601. package/src/app/webcommon/pages/fuenteGeneral/mantenimiento-fuente-general/mantenimiento-fuente-general.page.ts +0 -688
  602. package/src/app/webcommon/pipes/dashboard.pipe.ts +0 -79
  603. package/src/app/webcommon/pipes/fuente.pipe.ts +0 -207
  604. package/src/app/webcommon/pipes/general.pipe.ts +0 -1043
  605. package/src/app/webcommon/pipes/reporte-columnario.pipe.ts +0 -101
  606. package/src/app/webcommon/pipes/reporte-fuente.pipe.ts +0 -306
  607. package/src/app/webcommon/pipes/sara-general.pipe.ts +0 -289
  608. package/src/app/webcommon/popups/popup-campos-fuente-general/popup-campos-fuente-general.component.html +0 -90
  609. package/src/app/webcommon/popups/popup-campos-fuente-general/popup-campos-fuente-general.component.scss +0 -0
  610. package/src/app/webcommon/popups/popup-campos-fuente-general/popup-campos-fuente-general.component.ts +0 -262
  611. package/src/app/webcommon/popups/popup-convertir-plantillas/popup-convertir-plantillas.component.html +0 -50
  612. package/src/app/webcommon/popups/popup-convertir-plantillas/popup-convertir-plantillas.component.scss +0 -0
  613. package/src/app/webcommon/popups/popup-convertir-plantillas/popup-convertir-plantillas.component.ts +0 -135
  614. package/src/app/webcommon/popups/popup-editor-formula/popup-editor-formula.component.html +0 -44
  615. package/src/app/webcommon/popups/popup-editor-formula/popup-editor-formula.component.scss +0 -0
  616. package/src/app/webcommon/popups/popup-editor-formula/popup-editor-formula.component.ts +0 -66
  617. package/src/app/webcommon/popups/popup-punto-proceso/popup-punto-proceso.component.html +0 -41
  618. package/src/app/webcommon/popups/popup-punto-proceso/popup-punto-proceso.component.scss +0 -0
  619. package/src/app/webcommon/popups/popup-punto-proceso/popup-punto-proceso.component.ts +0 -283
  620. package/src/app/webcommon/popups/popup-recurso/popup-recurso.component.html +0 -179
  621. package/src/app/webcommon/popups/popup-recurso/popup-recurso.component.scss +0 -3
  622. package/src/app/webcommon/popups/popup-recurso/popup-recurso.component.ts +0 -266
  623. package/src/app/webcommon/popups/popup-reporte/popup-reporte.component.html +0 -273
  624. package/src/app/webcommon/popups/popup-reporte/popup-reporte.component.scss +0 -13
  625. package/src/app/webcommon/popups/popup-reporte/popup-reporte.component.ts +0 -557
  626. package/src/app/webcommon/popups/popup-sentencia/popup-sentencia.component.html +0 -10
  627. package/src/app/webcommon/popups/popup-sentencia/popup-sentencia.component.scss +0 -5
  628. package/src/app/webcommon/popups/popup-sentencia/popup-sentencia.component.ts +0 -58
  629. package/src/app/webcommon/popups/popup-tablas-fuente/popup-tablas-fuente.component.html +0 -71
  630. package/src/app/webcommon/popups/popup-tablas-fuente/popup-tablas-fuente.component.scss +0 -0
  631. package/src/app/webcommon/popups/popup-tablas-fuente/popup-tablas-fuente.component.ts +0 -154
  632. package/src/app/webcommon/popups/punto-proceso-comp/punto-proceso-comp.component.html +0 -11
  633. package/src/app/webcommon/popups/punto-proceso-comp/punto-proceso-comp.component.scss +0 -0
  634. package/src/app/webcommon/popups/punto-proceso-comp/punto-proceso-comp.component.ts +0 -82
  635. package/src/app/webcommon/services/carguelinea.service.ts +0 -74
  636. package/src/app/webcommon/services/dashboard.service.ts +0 -258
  637. package/src/app/webcommon/services/ejecucionreporte.service.ts +0 -160
  638. package/src/app/webcommon/services/fechaUtils.service.ts +0 -127
  639. package/src/app/webcommon/services/fuentegeneral.service.ts +0 -74
  640. package/src/app/webcommon/services/homologacion.service.ts +0 -49
  641. package/src/app/webcommon/services/plantillas.service.ts +0 -165
  642. package/src/app/webcommon/services/reportes.service.ts +0 -133
  643. package/src/app/webcommon/webcommon.module.ts +0 -11
  644. package/src/environments/environment.prod.ts +0 -3
  645. package/src/environments/environment.ts +0 -25
  646. package/src/favicon.ico +0 -0
  647. package/src/index.html +0 -14
  648. package/src/main.ts +0 -12
  649. package/src/polyfills.ts +0 -53
  650. package/src/test.ts +0 -14
  651. package/tsconfig.app.json +0 -15
  652. package/tsconfig.json +0 -35
  653. package/tsconfig.spec.json +0 -18
@@ -0,0 +1,73 @@
1
+ export const CampoFormularioCons = {
2
+ TEXTO: 'T',
3
+ COMBO: 'C',
4
+ NUMERO: 'N',
5
+ DECIMAL: 'D',
6
+ FECHA: 'F',
7
+ HORA: 'X',
8
+ FECHAHORA: 'E',
9
+ RADIO: 'R',
10
+ POPUP: 'P',
11
+ CHECK: 'H',
12
+ LOGICO: 'L',
13
+ TITULO: 'I',
14
+ SUBTITULO: 'B',
15
+ MENSAJE: 'M',
16
+ TEXTOAREA: 'A',
17
+ SECRET: 'S',
18
+ VALORHORA: 'Z',
19
+ AUTOCOMPLETAR: 'Y',
20
+ AUTOCOMPLETAR_AREA: 'O',
21
+ COMBOSQL: 'Q',
22
+ COMBO_CONSULTA: 'Consulta',
23
+ COMBO_ESTATICO: 'Estarico',
24
+ GRUPO_FINAL: 'F',
25
+ GRUPO_INICIAL: 'I',
26
+ CAMPO_LECTURA: 'L',
27
+ CAMPO_EDITABLE: 'E',
28
+ CAMPO_NO_VISIBLE: 'N',
29
+ TEXTO_DES: 'Texto',
30
+ COMBO_DES: 'Lista',
31
+ NUMERO_DES: 'Entero',
32
+ DECIMAL_DES: 'Decimal',
33
+ FECHA_DES: 'Fecha',
34
+ RADIO_DES: 'Alternativa',
35
+ CHECK_DES: 'Marca',
36
+ LOGICO_DES: 'Logico',
37
+ TITULO_DES: 'Titulo',
38
+ SUBTITULO_DES: 'Subtitulo',
39
+ MENSAJE_DES: 'Mensaje',
40
+ TEXTOAREA_DES: 'Texto Area',
41
+ SECRET_DES: 'Secret',
42
+ COMBOSQL_DES: 'Lista Sql',
43
+ MAPA_TIPO_CAMPOS: new Map()
44
+ .set('T', 'Texto')
45
+ .set('C', 'Combo')
46
+ .set('N', 'Numero')
47
+ .set('D', 'Decimal')
48
+ .set('F', 'Fecha')
49
+ .set('X', 'Hora')
50
+ .set('R', 'Radio')
51
+ .set('P', 'Popup')
52
+ .set('H', 'Check')
53
+ .set('L', 'Logico')
54
+ .set('I', 'Titulo')
55
+ .set('A', 'TextoArea')
56
+ .set('S', 'Secreto')
57
+ .set('Z', 'ValorHora'),
58
+ MAPA_COMPONENTES_CARACTERISTICAS_ADI: new Map()
59
+ .set('T', 'Texto')
60
+ .set('C', 'Combo')
61
+ .set('N', 'Numero')
62
+ .set('D', 'Decimal')
63
+ .set('F', 'Fecha')
64
+ .set('X', 'Hora')
65
+ .set('R', 'Radio')
66
+ .set('H', 'Check')
67
+ .set('L', 'Logico')
68
+ .set('I', 'Titulo')
69
+ .set('A', 'TextoArea')
70
+ .set('S', 'Secreto')
71
+ .set('Z', 'ValorHora'),
72
+ };
73
+ //# sourceMappingURL=data:application/json;base64,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
@@ -0,0 +1,59 @@
1
+ export class CampoFormularioNuevoModel {
2
+ tipo;
3
+ descripcion;
4
+ valorNuevo;
5
+ objeto;
6
+ longitud;
7
+ campo;
8
+ obligatorio;
9
+ lista;
10
+ listaRespuesta;
11
+ popup;
12
+ textoPopup;
13
+ valorAntiguo;
14
+ orden;
15
+ editable;
16
+ mensaje;
17
+ mostrar;
18
+ //formulario:IFormularioNuevo;
19
+ decimales;
20
+ ayuda;
21
+ caracteristica;
22
+ campoTabla;
23
+ resaltado;
24
+ campoReferencia;
25
+ tituloCampo;
26
+ popupComponent;
27
+ propiedadesPopupComponent;
28
+ listaPopups;
29
+ //campos creados por primeng
30
+ listaAutocompleteFiltrada;
31
+ constructor(tipo, descripcion, longitud, decimales, campo, obligatorio, lista, popup, editable, orden, mostrar, caracteristica, objeto) {
32
+ this.tipo = tipo;
33
+ this.descripcion = descripcion;
34
+ this.longitud = longitud;
35
+ this.decimales = decimales;
36
+ this.campo = campo;
37
+ this.obligatorio = obligatorio;
38
+ this.lista = lista;
39
+ this.popup = popup;
40
+ this.editable = editable;
41
+ this.objeto = objeto;
42
+ this.orden = orden;
43
+ this.mostrar = mostrar;
44
+ this.caracteristica = caracteristica;
45
+ }
46
+ filtrarAutocomplete(event) {
47
+ let filtered = [];
48
+ let query = event.query;
49
+ for (let i = 0; i < this.lista.length; i++) {
50
+ let objeto = this.lista[i];
51
+ console.log(objeto);
52
+ if (objeto.label.toLowerCase().indexOf(query.toLowerCase()) == 0) {
53
+ filtered.push(objeto);
54
+ }
55
+ }
56
+ this.listaAutocompleteFiltrada = filtered;
57
+ }
58
+ }
59
+ //# sourceMappingURL=data:application/json;base64,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
@@ -0,0 +1,21 @@
1
+ import { Input, Directive } from '@angular/core';
2
+ import * as i0 from "@angular/core";
3
+ export class HashDirective {
4
+ vcRef;
5
+ hash;
6
+ constructor(vcRef) {
7
+ this.vcRef = vcRef;
8
+ }
9
+ static ɵfac = i0.ɵɵngDeclareFactory({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: HashDirective, deps: [{ token: i0.ViewContainerRef }], target: i0.ɵɵFactoryTarget.Directive });
10
+ static ɵdir = i0.ɵɵngDeclareDirective({ minVersion: "14.0.0", version: "18.0.4", type: HashDirective, isStandalone: true, selector: "[hash]", inputs: { hash: "hash" }, ngImport: i0 });
11
+ }
12
+ i0.ɵɵngDeclareClassMetadata({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: HashDirective, decorators: [{
13
+ type: Directive,
14
+ args: [{
15
+ selector: '[hash]',
16
+ standalone: true,
17
+ }]
18
+ }], ctorParameters: () => [{ type: i0.ViewContainerRef }], propDecorators: { hash: [{
19
+ type: Input
20
+ }] } });
21
+ //# sourceMappingURL=data:application/json;base64,eyJ2ZXJzaW9uIjozLCJmaWxlIjoiSGFzaERpcmVjdGl2ZS5qcyIsInNvdXJjZVJvb3QiOiIiLCJzb3VyY2VzIjpbIi4uLy4uLy4uLy4uLy4uLy4uLy4uLy4uL3NyYy9hcHAvY29yZS9jb21wb25lbnRlcy9mb3JtdWxhcmlvZGluYW1pY28vb2JqZXRvc2Zvcm11bGFyaW8vSGFzaERpcmVjdGl2ZS50cyJdLCJuYW1lcyI6W10sIm1hcHBpbmdzIjoiQUFBQSxPQUFPLEVBQUcsS0FBSyxFQUFFLFNBQVMsRUFBb0IsTUFBTSxlQUFlLENBQUM7O0FBTWxFLE1BQU0sT0FBTyxhQUFhO0lBR0w7SUFGVixJQUFJLENBQVM7SUFFdEIsWUFBbUIsS0FBdUI7UUFBdkIsVUFBSyxHQUFMLEtBQUssQ0FBa0I7SUFBRyxDQUFDO3VHQUhuQyxhQUFhOzJGQUFiLGFBQWE7OzJGQUFiLGFBQWE7a0JBSjNCLFNBQVM7bUJBQUM7b0JBQ1AsUUFBUSxFQUFFLFFBQVE7b0JBQ2xCLFVBQVUsRUFBRSxJQUFJO2lCQUNqQjtxRkFFVSxJQUFJO3NCQUFaLEtBQUsiLCJzb3VyY2VzQ29udGVudCI6WyJpbXBvcnQgeyAgSW5wdXQsIERpcmVjdGl2ZSwgVmlld0NvbnRhaW5lclJlZiB9IGZyb20gJ0Bhbmd1bGFyL2NvcmUnO1xyXG5cclxuQERpcmVjdGl2ZSh7XHJcbiAgICBzZWxlY3RvcjogJ1toYXNoXScsXHJcbiAgICBzdGFuZGFsb25lOiB0cnVlLFxyXG4gIH0pXHJcbiAgZXhwb3J0IGNsYXNzIEhhc2hEaXJlY3RpdmUgIHtcclxuICAgIEBJbnB1dCgpIGhhc2g6IHN0cmluZztcclxuICBcclxuICAgIGNvbnN0cnVjdG9yKHB1YmxpYyB2Y1JlZjogVmlld0NvbnRhaW5lclJlZikge31cclxuICB9Il19
@@ -0,0 +1,72 @@
1
+ import { Component } from '@angular/core';
2
+ import { ModulosModel } from '../../../seguridad/modelos/ModulosModel';
3
+ import { CommonModule } from '@angular/common';
4
+ import { MessageService } from 'primeng/api';
5
+ import { ButtonModule } from 'primeng/button';
6
+ import { GeneralModel } from '../../../seguridad/modelos/GeneralModel';
7
+ import * as i0 from "@angular/core";
8
+ import * as i1 from "@angular/common/http";
9
+ import * as i2 from "@angular/router";
10
+ import * as i3 from "../../servicios/toast.service";
11
+ import * as i4 from "../../servicios/auth.service";
12
+ import * as i5 from "../../servicios/utils.service";
13
+ import * as i6 from "../../servicios/storage.service";
14
+ import * as i7 from "../../../shared/servicios/general.service";
15
+ import * as i8 from "@angular/common";
16
+ import * as i9 from "primeng/button";
17
+ export class InicioComponentComponent {
18
+ httpClient;
19
+ activatedRoute;
20
+ toast;
21
+ authService;
22
+ utilsService;
23
+ storageService;
24
+ generalService;
25
+ modulo = new ModulosModel(null, null);
26
+ constructor(httpClient, activatedRoute, toast, authService, utilsService, storageService, generalService) {
27
+ this.httpClient = httpClient;
28
+ this.activatedRoute = activatedRoute;
29
+ this.toast = toast;
30
+ this.authService = authService;
31
+ this.utilsService = utilsService;
32
+ this.storageService = storageService;
33
+ this.generalService = generalService;
34
+ }
35
+ ngOnInit() {
36
+ this.consultarModulo();
37
+ }
38
+ consultarModulo() {
39
+ let objeto = {
40
+ nombreDTO: 'ModuloDTO',
41
+ nombreConsulta: null,
42
+ seguridad: true,
43
+ objeto: {
44
+ llave: this.utilsService.rightpad(this.storageService.getItem('moduloSeleccionado'), 3, ' '),
45
+ aplicacion: this.utilsService.rightpad(this.authService.getTokenKey('codigoAplicacion'), 10, ' ')
46
+ },
47
+ };
48
+ console.log(objeto);
49
+ this.activatedRoute.data.subscribe((v) => {
50
+ this.httpClient
51
+ .post(`${this.utilsService.obtenerUrlApi()}/requieretoken/general/queryForObject`, objeto)
52
+ .subscribe((data) => {
53
+ this.modulo = data;
54
+ console.log(this.modulo);
55
+ });
56
+ });
57
+ }
58
+ async marcarModuloFavorito() {
59
+ if (this.modulo && this.modulo.llave) {
60
+ this.storageService.setItem('moduloFavorito', this.modulo?.llave);
61
+ this.generalService.update(new GeneralModel('UsuarioDTO', 'Usuario.actualizarModuloFavorito', true, { login: this.authService.getTokenKey('usuario'), modulo: this.utilsService.rightpad(this.storageService.getItem('moduloSeleccionado'), 3, ' ') })).toPromise();
62
+ this.toast.showSuccess('Se agrego ' + this.modulo?.descripcion + ' como favorito');
63
+ }
64
+ }
65
+ static ɵfac = i0.ɵɵngDeclareFactory({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: InicioComponentComponent, deps: [{ token: i1.HttpClient }, { token: i2.ActivatedRoute }, { token: i3.ToastService }, { token: i4.AuthService }, { token: i5.UtilsService }, { token: i6.StorageService }, { token: i7.GeneralService }], target: i0.ɵɵFactoryTarget.Component });
66
+ static ɵcmp = i0.ɵɵngDeclareComponent({ minVersion: "14.0.0", version: "18.0.4", type: InicioComponentComponent, isStandalone: true, selector: "ng-component", providers: [MessageService], ngImport: i0, template: "<div>\r\n <div class=\"Inputgroup p-4 mt-0 \">\r\n <div class=\"p-fluid p-formgrid grid align-items-center favorito\">\r\n <div class=\"Icono\">\r\n <button pButton pRipple type=\"button\" icon=\"glyphicons glyphicons-heart\" class=\"p-button-rounded p-button-help p-button-text mr-2 mb-2\"\r\n style=\"float: right;\" (click)=\"marcarModuloFavorito()\"></button>\r\n </div>\r\n <div class=\"field col-12 md:col-3 animacion\">\r\n <img class=\"FormaImagen\"\r\n [src]=\"'assets/modulos/' + (modulo.icono.replace('.png', '.webp'))\"\r\n alt=\"\" srcset=\"\">\r\n </div>\r\n <div class=\"field col-12 md:col-9\" >\r\n <div class=\"pl-3 animacion\" *ngIf=\"modulo\">\r\n\r\n <h2 >\r\n <span >{{'Bienvenido'}} a</span><br>\r\n {{modulo.descripcion}}\r\n </h2>\r\n <div class=\"col-12 md:col-9 separador\"></div>\r\n <div>\r\n <p>{{modulo.descripcionLarga}}</p>\r\n </div>\r\n </div>\r\n </div>\r\n </div>\r\n </div>\r\n <!-- <div class=\"Inputgroup-borde pt-2\"></div> -->\r\n</div>\r\n", styles: [":host ::ng-deep .animacion{position:relative;animation-name:example;animation-duration:2s}:host ::ng-deep .animacion p{white-space:pre-wrap;animation-duration:3s;animation-name:slidein;animation-iteration-count:infinite}:host ::ng-deep .animacion h2{text-transform:uppercase;margin-bottom:0;font-size:2rem}:host ::ng-deep .animacion h2 span{color:var(--color-400);margin-top:20px}:host ::ng-deep .separador{border-bottom:3px solid var(--color-100);width:100%;margin-bottom:15px}:host ::ng-deep .FormaImagen{width:100%;border-radius:50%;padding:5%;background:var(--color-100)}@keyframes example{0%{left:-200px;top:0}50%{left:0}to{left:0}}@media (max-width: 1300px){:host ::ng-deep .animacion h2{font-size:1.5rem}:host ::ng-deep .animacion p{font-size:.9rem}}@media only screen and (max-width: 1600px) and (min-width: 1360px){:host ::ng-deep .animacion p{font-size:.9rem}:host ::ng-deep .animacion h2{font-size:1.5rem}}\n"], dependencies: [{ kind: "ngmodule", type: CommonModule }, { kind: "directive", type: i8.NgIf, selector: "[ngIf]", inputs: ["ngIf", "ngIfThen", "ngIfElse"] }, { kind: "ngmodule", type: ButtonModule }, { kind: "directive", type: i9.ButtonDirective, selector: "[pButton]", inputs: ["iconPos", "loadingIcon", "label", "icon", "loading", "severity", "raised", "rounded", "text", "outlined", "size", "plain"] }] });
67
+ }
68
+ i0.ɵɵngDeclareClassMetadata({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: InicioComponentComponent, decorators: [{
69
+ type: Component,
70
+ args: [{ imports: [CommonModule, ButtonModule], standalone: true, providers: [MessageService], template: "<div>\r\n <div class=\"Inputgroup p-4 mt-0 \">\r\n <div class=\"p-fluid p-formgrid grid align-items-center favorito\">\r\n <div class=\"Icono\">\r\n <button pButton pRipple type=\"button\" icon=\"glyphicons glyphicons-heart\" class=\"p-button-rounded p-button-help p-button-text mr-2 mb-2\"\r\n style=\"float: right;\" (click)=\"marcarModuloFavorito()\"></button>\r\n </div>\r\n <div class=\"field col-12 md:col-3 animacion\">\r\n <img class=\"FormaImagen\"\r\n [src]=\"'assets/modulos/' + (modulo.icono.replace('.png', '.webp'))\"\r\n alt=\"\" srcset=\"\">\r\n </div>\r\n <div class=\"field col-12 md:col-9\" >\r\n <div class=\"pl-3 animacion\" *ngIf=\"modulo\">\r\n\r\n <h2 >\r\n <span >{{'Bienvenido'}} a</span><br>\r\n {{modulo.descripcion}}\r\n </h2>\r\n <div class=\"col-12 md:col-9 separador\"></div>\r\n <div>\r\n <p>{{modulo.descripcionLarga}}</p>\r\n </div>\r\n </div>\r\n </div>\r\n </div>\r\n </div>\r\n <!-- <div class=\"Inputgroup-borde pt-2\"></div> -->\r\n</div>\r\n", styles: [":host ::ng-deep .animacion{position:relative;animation-name:example;animation-duration:2s}:host ::ng-deep .animacion p{white-space:pre-wrap;animation-duration:3s;animation-name:slidein;animation-iteration-count:infinite}:host ::ng-deep .animacion h2{text-transform:uppercase;margin-bottom:0;font-size:2rem}:host ::ng-deep .animacion h2 span{color:var(--color-400);margin-top:20px}:host ::ng-deep .separador{border-bottom:3px solid var(--color-100);width:100%;margin-bottom:15px}:host ::ng-deep .FormaImagen{width:100%;border-radius:50%;padding:5%;background:var(--color-100)}@keyframes example{0%{left:-200px;top:0}50%{left:0}to{left:0}}@media (max-width: 1300px){:host ::ng-deep .animacion h2{font-size:1.5rem}:host ::ng-deep .animacion p{font-size:.9rem}}@media only screen and (max-width: 1600px) and (min-width: 1360px){:host ::ng-deep .animacion p{font-size:.9rem}:host ::ng-deep .animacion h2{font-size:1.5rem}}\n"] }]
71
+ }], ctorParameters: () => [{ type: i1.HttpClient }, { type: i2.ActivatedRoute }, { type: i3.ToastService }, { type: i4.AuthService }, { type: i5.UtilsService }, { type: i6.StorageService }, { type: i7.GeneralService }] });
72
+ //# sourceMappingURL=data:application/json;base64,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
@@ -0,0 +1,37 @@
1
+ import { Component, Input } from '@angular/core';
2
+ import { CommonModule } from '@angular/common';
3
+ import { ScrollPanelModule } from 'primeng/scrollpanel';
4
+ import { PanelMenuModule } from 'primeng/panelmenu';
5
+ import * as i0 from "@angular/core";
6
+ import * as i1 from "../../../core/servicios/menu.service";
7
+ import * as i2 from "primeng/scrollpanel";
8
+ import * as i3 from "primeng/panelmenu";
9
+ import * as i4 from "primeng/tooltip";
10
+ export class MenuComponent {
11
+ menuService;
12
+ subcription;
13
+ model = [];
14
+ modulo = '';
15
+ constructor(menuService) {
16
+ this.menuService = menuService;
17
+ }
18
+ ngOnInit() {
19
+ this.subcription = this.menuService.menu.subscribe((menu) => {
20
+ this.model = menu.items;
21
+ });
22
+ }
23
+ ngOnDestroy() {
24
+ if (this.subcription) {
25
+ this.subcription.unsubscribe();
26
+ }
27
+ }
28
+ static ɵfac = i0.ɵɵngDeclareFactory({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: MenuComponent, deps: [{ token: i1.MenuService }], target: i0.ɵɵFactoryTarget.Component });
29
+ static ɵcmp = i0.ɵɵngDeclareComponent({ minVersion: "14.0.0", version: "18.0.4", type: MenuComponent, isStandalone: true, selector: "app-menu", inputs: { modulo: "modulo" }, ngImport: i0, template: "<div class=\"layout-inline-menu\">\r\n <a class=\"layout-inline-menu-action flex flex-row align-items-center p-4 menu-titulo\">\r\n <span>{{modulo}}\r\n <span pTooltip=\"{{'Tooltip text'}}\" tooltipPosition=\"right\">\r\n <i class=\"pi pi-question-circle\"></i>\r\n </span>\r\n </span>\r\n </a>\r\n</div>\r\n\r\n<p-scrollPanel>\r\n <p-panelMenu [model]=\"model\" [multiple]=\"false\"></p-panelMenu>\r\n</p-scrollPanel>\r\n", styles: ["@charset \"UTF-8\";.layout-menu-light .menu-wrapper .layout-menu-container .layout-inline-menu .layout-inline-menu-action i{color:#fff;background:var(--color-500);font-size:1.5rem;border-radius:50%}.layout-menu-light .menu-wrapper .layout-menu-container .layout-inline-menu .layout-inline-menu-action:hover{background-color:transparent}.menu-titulo span{font-size:1.5rem;font-weight:700;line-height:2rem;color:#0c1520;margin-right:.5rem}@media (max-width: 1420px){.menu-titulo span{font-size:1.4rem;line-height:1.5rem}}@media (max-width: 1300px){.menu-titulo span{font-size:1.1rem}}\n"], dependencies: [{ kind: "ngmodule", type: CommonModule }, { kind: "ngmodule", type: ScrollPanelModule }, { kind: "component", type: i2.ScrollPanel, selector: "p-scrollPanel", inputs: ["style", "styleClass", "step"] }, { kind: "ngmodule", type: PanelMenuModule }, { kind: "component", type: i3.PanelMenu, selector: "p-panelMenu", inputs: ["model", "style", "styleClass", "multiple", "transitionOptions", "id", "tabindex"] }, { kind: "directive", type: i4.Tooltip, selector: "[pTooltip]", inputs: ["tooltipPosition", "tooltipEvent", "appendTo", "positionStyle", "tooltipStyleClass", "tooltipZIndex", "escape", "showDelay", "hideDelay", "life", "positionTop", "positionLeft", "autoHide", "fitContent", "hideOnEscape", "pTooltip", "tooltipDisabled", "tooltipOptions"] }] });
30
+ }
31
+ i0.ɵɵngDeclareClassMetadata({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: MenuComponent, decorators: [{
32
+ type: Component,
33
+ args: [{ selector: 'app-menu', imports: [CommonModule, ScrollPanelModule, PanelMenuModule], standalone: true, template: "<div class=\"layout-inline-menu\">\r\n <a class=\"layout-inline-menu-action flex flex-row align-items-center p-4 menu-titulo\">\r\n <span>{{modulo}}\r\n <span pTooltip=\"{{'Tooltip text'}}\" tooltipPosition=\"right\">\r\n <i class=\"pi pi-question-circle\"></i>\r\n </span>\r\n </span>\r\n </a>\r\n</div>\r\n\r\n<p-scrollPanel>\r\n <p-panelMenu [model]=\"model\" [multiple]=\"false\"></p-panelMenu>\r\n</p-scrollPanel>\r\n", styles: ["@charset \"UTF-8\";.layout-menu-light .menu-wrapper .layout-menu-container .layout-inline-menu .layout-inline-menu-action i{color:#fff;background:var(--color-500);font-size:1.5rem;border-radius:50%}.layout-menu-light .menu-wrapper .layout-menu-container .layout-inline-menu .layout-inline-menu-action:hover{background-color:transparent}.menu-titulo span{font-size:1.5rem;font-weight:700;line-height:2rem;color:#0c1520;margin-right:.5rem}@media (max-width: 1420px){.menu-titulo span{font-size:1.4rem;line-height:1.5rem}}@media (max-width: 1300px){.menu-titulo span{font-size:1.1rem}}\n"] }]
34
+ }], ctorParameters: () => [{ type: i1.MenuService }], propDecorators: { modulo: [{
35
+ type: Input
36
+ }] } });
37
+ //# sourceMappingURL=data:application/json;base64,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
@@ -0,0 +1,93 @@
1
+ import { Component, ContentChildren, EventEmitter, HostListener, Input, Output, ViewChild, } from '@angular/core';
2
+ import { PrimeTemplate } from 'primeng/api';
3
+ import { Table, TableModule } from 'primeng/table';
4
+ import { CommonModule, DatePipe, TitleCasePipe } from '@angular/common';
5
+ import { TranslateModule } from '@ngx-translate/core';
6
+ import { PaginatorModule } from 'primeng/paginator';
7
+ import * as i0 from "@angular/core";
8
+ import * as i1 from "primeng/table";
9
+ import * as i2 from "primeng/api";
10
+ import * as i3 from "@ngx-translate/core";
11
+ import * as i4 from "@angular/common";
12
+ export class TablaGeneralComponent {
13
+ dataKey;
14
+ value = [];
15
+ columns = [];
16
+ rows;
17
+ totalRecords;
18
+ loading;
19
+ ocultarFraccionador;
20
+ onLoad = new EventEmitter();
21
+ onRowSelect = new EventEmitter();
22
+ templates;
23
+ table;
24
+ headerTemplate;
25
+ bodyTemplate;
26
+ rowExpansionTemplate;
27
+ showFrozen = false;
28
+ minTableWidth = 200;
29
+ constructor() { }
30
+ ngOnInit() {
31
+ this.minTableWidth = this.columns.reduce((acc, column) => {
32
+ return acc + column.width;
33
+ }, 0);
34
+ }
35
+ ngAfterContentInit() {
36
+ this.templates.forEach((item) => {
37
+ switch (item.getType()) {
38
+ case 'header':
39
+ this.headerTemplate = item.template;
40
+ break;
41
+ case 'body':
42
+ this.bodyTemplate = item.template;
43
+ break;
44
+ }
45
+ });
46
+ }
47
+ ngAfterViewInit() {
48
+ setTimeout(() => this._shouldFreezeTable());
49
+ }
50
+ onResize() {
51
+ this._shouldFreezeTable();
52
+ }
53
+ _shouldFreezeTable() {
54
+ this.showFrozen = this.table.tableViewChild.nativeElement.parentElement.clientWidth < this.minTableWidth;
55
+ }
56
+ parseDate(obj) {
57
+ return new Date(obj);
58
+ }
59
+ static ɵfac = i0.ɵɵngDeclareFactory({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: TablaGeneralComponent, deps: [], target: i0.ɵɵFactoryTarget.Component });
60
+ static ɵcmp = i0.ɵɵngDeclareComponent({ minVersion: "14.0.0", version: "18.0.4", type: TablaGeneralComponent, isStandalone: true, selector: "app-tabla-general", inputs: { dataKey: "dataKey", value: "value", columns: "columns", rows: "rows", totalRecords: "totalRecords", loading: "loading", ocultarFraccionador: "ocultarFraccionador" }, outputs: { onLoad: "onLoad", onRowSelect: "onRowSelect" }, host: { listeners: { "window:resize": "onResize()" } }, queries: [{ propertyName: "templates", predicate: PrimeTemplate }], viewQueries: [{ propertyName: "table", first: true, predicate: Table, descendants: true }], ngImport: i0, template: "<p-table\r\n [dataKey]=\"dataKey\"\r\n [value]=\"value\"\r\n [columns]=\"columns\"\r\n selectionMode=\"single\"\r\n [scrollable]=\"true\"\r\n responsiveLayout=\"scroll\"\r\n scrollDirection=\"horizontal\"\r\n styleClass=\"p-datatable-striped\"\r\n [ngClass]=\"{ 'show-frozen': showFrozen }\"\r\n [paginator]=\"totalRecords > rows\"\r\n [rows]=\"rows\"\r\n [totalRecords]=\"totalRecords\"\r\n [rowsPerPageOptions]=\"ocultarFraccionador ? null : [10, 20, 50]\"\r\n [showCurrentPageReport]=\"true\"\r\n currentPageReportTemplate=\"{first} al {last} de {totalRecords} registros\"\r\n [lazy]=\"true\" [loading]=\"loading\"\r\n (onLazyLoad)=\"onLoad.emit($event)\"\r\n (onRowSelect)=\"onRowSelect.emit($event.data)\"\r\n (onRowUnselect)=\"onRowSelect.emit($event.data)\"\r\n>\r\n <!-- Header Template-->\r\n <ng-template pTemplate=\"header\" let-columns>\r\n <ng-container *ngIf=\"headerTemplate; else header\">\r\n <ng-container *ngTemplateOutlet=\"headerTemplate; context: { $implicit: columns }\"></ng-container>\r\n </ng-container>\r\n <ng-template #header>\r\n <tr>\r\n <ng-container *ngFor=\"let col of columns\">\r\n <ng-container *ngIf=\"col.isFrozen; else headCell\">\r\n <th [style.font-weight]=\"500\" [style.width.px]=\"col.width || 200\" [style.flex]=\"'0 1 auto'\" pFrozenColumn>\r\n {{ col.header | titlecase }}\r\n </th>\r\n </ng-container>\r\n <ng-template #headCell>\r\n <th [style.width.px]=\"col.width || 200\" [style.flex]=\"(col.flexGrow || 1) + ' 1 auto'\">\r\n {{ col.header | titlecase }}\r\n </th>\r\n </ng-template>\r\n </ng-container>\r\n </tr>\r\n </ng-template>\r\n </ng-template>\r\n\r\n <!-- Body Template-->\r\n <ng-template\r\n pTemplate=\"body\"\r\n let-row\r\n let-rowIndex=\"rowIndex\"\r\n let-columns=\"columns\"\r\n let-expanded=\"expanded\"\r\n let-rowgroup=\"rowgroup\"\r\n let-rowspan=\"rowspan\"\r\n >\r\n <ng-container *ngIf=\"bodyTemplate; else body\">\r\n <ng-container\r\n *ngTemplateOutlet=\"\r\n bodyTemplate;\r\n context: { $implicit: row, rowIndex: rowIndex, columns: columns, expanded: expanded, rowgroup: rowgroup, rowspan: rowspan }\r\n \"\r\n ></ng-container>\r\n </ng-container>\r\n <ng-template #body>\r\n <tr [pSelectableRow]=\"row\">\r\n <ng-container *ngFor=\"let col of columns\">\r\n <ng-container *ngIf=\"col.isFrozen; else bodyCell\">\r\n <td [style.font-weight]=\"500\" [style.width.px]=\"col.width || 200\" [style.flex]=\"'0 1 auto'\" pFrozenColumn>\r\n <ng-container *ngIf=\"col.values; else plainData\">\r\n {{ col.values[row[col.field]] }}\r\n </ng-container>\r\n </td>\r\n </ng-container>\r\n <ng-template #bodyCell>\r\n <td [style.width.px]=\"col.width || 200\" [style.flex]=\"(col.flexGrow || 1) + ' 1 auto'\">\r\n <ng-container *ngIf=\"col.values; else plainData\">\r\n {{ col.values[row[col.field]] }}\r\n </ng-container>\r\n </td>\r\n </ng-template>\r\n <ng-template #plainData>{{col.formatDate ? (parseDate(row[col.field]) | date : ('formatofecha' | translate )) : row[col.field] }}</ng-template>\r\n </ng-container>\r\n </tr>\r\n </ng-template>\r\n </ng-template>\r\n <ng-template pTemplate=\"emptymessage\">\r\n <tr>\r\n <td colspan=\"100\" class=\"p-3 font-medium emptymessage\">{{'mensajetablavacia' | translate}}</td>\r\n </tr>\r\n </ng-template>\r\n</p-table>\r\n", styles: [""], dependencies: [{ kind: "ngmodule", type: TableModule }, { kind: "component", type: i1.Table, selector: "p-table", inputs: ["frozenColumns", "frozenValue", "style", "styleClass", "tableStyle", "tableStyleClass", "paginator", "pageLinks", "rowsPerPageOptions", "alwaysShowPaginator", "paginatorPosition", "paginatorStyleClass", "paginatorDropdownAppendTo", "paginatorDropdownScrollHeight", "currentPageReportTemplate", "showCurrentPageReport", "showJumpToPageDropdown", "showJumpToPageInput", "showFirstLastIcon", "showPageLinks", "defaultSortOrder", "sortMode", "resetPageOnSort", "selectionMode", "selectionPageOnly", "contextMenuSelection", "contextMenuSelectionMode", "dataKey", "metaKeySelection", "rowSelectable", "rowTrackBy", "lazy", "lazyLoadOnInit", "compareSelectionBy", "csvSeparator", "exportFilename", "filters", "globalFilterFields", "filterDelay", "filterLocale", "expandedRowKeys", "editingRowKeys", "rowExpandMode", "scrollable", "scrollDirection", "rowGroupMode", "scrollHeight", "virtualScroll", "virtualScrollItemSize", "virtualScrollOptions", "virtualScrollDelay", "frozenWidth", "responsive", "contextMenu", "resizableColumns", "columnResizeMode", "reorderableColumns", "loading", "loadingIcon", "showLoader", "rowHover", "customSort", "showInitialSortBadge", "autoLayout", "exportFunction", "exportHeader", "stateKey", "stateStorage", "editMode", "groupRowsBy", "groupRowsByOrder", "responsiveLayout", "breakpoint", "paginatorLocale", "value", "columns", "first", "rows", "totalRecords", "sortField", "sortOrder", "multiSortMeta", "selection", "selectAll", "virtualRowHeight"], outputs: ["contextMenuSelectionChange", "selectAllChange", "selectionChange", "onRowSelect", "onRowUnselect", "onPage", "onSort", "onFilter", "onLazyLoad", "onRowExpand", "onRowCollapse", "onContextMenuSelect", "onColResize", "onColReorder", "onRowReorder", "onEditInit", "onEditComplete", "onEditCancel", "onHeaderCheckboxToggle", "sortFunction", "firstChange", "rowsChange", "onStateSave", "onStateRestore"] }, { kind: "directive", type: i2.PrimeTemplate, selector: "[pTemplate]", inputs: ["type", "pTemplate"] }, { kind: "directive", type: i1.FrozenColumn, selector: "[pFrozenColumn]", inputs: ["frozen", "alignFrozen"] }, { kind: "directive", type: i1.SelectableRow, selector: "[pSelectableRow]", inputs: ["pSelectableRow", "pSelectableRowIndex", "pSelectableRowDisabled"] }, { kind: "pipe", type: TitleCasePipe, name: "titlecase" }, { kind: "pipe", type: DatePipe, name: "date" }, { kind: "ngmodule", type: TranslateModule }, { kind: "pipe", type: i3.TranslatePipe, name: "translate" }, { kind: "ngmodule", type: CommonModule }, { kind: "directive", type: i4.NgClass, selector: "[ngClass]", inputs: ["class", "ngClass"] }, { kind: "directive", type: i4.NgForOf, selector: "[ngFor][ngForOf]", inputs: ["ngForOf", "ngForTrackBy", "ngForTemplate"] }, { kind: "directive", type: i4.NgIf, selector: "[ngIf]", inputs: ["ngIf", "ngIfThen", "ngIfElse"] }, { kind: "directive", type: i4.NgTemplateOutlet, selector: "[ngTemplateOutlet]", inputs: ["ngTemplateOutletContext", "ngTemplateOutlet", "ngTemplateOutletInjector"] }, { kind: "ngmodule", type: PaginatorModule }] });
61
+ }
62
+ i0.ɵɵngDeclareClassMetadata({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: TablaGeneralComponent, decorators: [{
63
+ type: Component,
64
+ args: [{ selector: 'app-tabla-general', imports: [TableModule, TitleCasePipe, DatePipe, TranslateModule, CommonModule, PaginatorModule], standalone: true, template: "<p-table\r\n [dataKey]=\"dataKey\"\r\n [value]=\"value\"\r\n [columns]=\"columns\"\r\n selectionMode=\"single\"\r\n [scrollable]=\"true\"\r\n responsiveLayout=\"scroll\"\r\n scrollDirection=\"horizontal\"\r\n styleClass=\"p-datatable-striped\"\r\n [ngClass]=\"{ 'show-frozen': showFrozen }\"\r\n [paginator]=\"totalRecords > rows\"\r\n [rows]=\"rows\"\r\n [totalRecords]=\"totalRecords\"\r\n [rowsPerPageOptions]=\"ocultarFraccionador ? null : [10, 20, 50]\"\r\n [showCurrentPageReport]=\"true\"\r\n currentPageReportTemplate=\"{first} al {last} de {totalRecords} registros\"\r\n [lazy]=\"true\" [loading]=\"loading\"\r\n (onLazyLoad)=\"onLoad.emit($event)\"\r\n (onRowSelect)=\"onRowSelect.emit($event.data)\"\r\n (onRowUnselect)=\"onRowSelect.emit($event.data)\"\r\n>\r\n <!-- Header Template-->\r\n <ng-template pTemplate=\"header\" let-columns>\r\n <ng-container *ngIf=\"headerTemplate; else header\">\r\n <ng-container *ngTemplateOutlet=\"headerTemplate; context: { $implicit: columns }\"></ng-container>\r\n </ng-container>\r\n <ng-template #header>\r\n <tr>\r\n <ng-container *ngFor=\"let col of columns\">\r\n <ng-container *ngIf=\"col.isFrozen; else headCell\">\r\n <th [style.font-weight]=\"500\" [style.width.px]=\"col.width || 200\" [style.flex]=\"'0 1 auto'\" pFrozenColumn>\r\n {{ col.header | titlecase }}\r\n </th>\r\n </ng-container>\r\n <ng-template #headCell>\r\n <th [style.width.px]=\"col.width || 200\" [style.flex]=\"(col.flexGrow || 1) + ' 1 auto'\">\r\n {{ col.header | titlecase }}\r\n </th>\r\n </ng-template>\r\n </ng-container>\r\n </tr>\r\n </ng-template>\r\n </ng-template>\r\n\r\n <!-- Body Template-->\r\n <ng-template\r\n pTemplate=\"body\"\r\n let-row\r\n let-rowIndex=\"rowIndex\"\r\n let-columns=\"columns\"\r\n let-expanded=\"expanded\"\r\n let-rowgroup=\"rowgroup\"\r\n let-rowspan=\"rowspan\"\r\n >\r\n <ng-container *ngIf=\"bodyTemplate; else body\">\r\n <ng-container\r\n *ngTemplateOutlet=\"\r\n bodyTemplate;\r\n context: { $implicit: row, rowIndex: rowIndex, columns: columns, expanded: expanded, rowgroup: rowgroup, rowspan: rowspan }\r\n \"\r\n ></ng-container>\r\n </ng-container>\r\n <ng-template #body>\r\n <tr [pSelectableRow]=\"row\">\r\n <ng-container *ngFor=\"let col of columns\">\r\n <ng-container *ngIf=\"col.isFrozen; else bodyCell\">\r\n <td [style.font-weight]=\"500\" [style.width.px]=\"col.width || 200\" [style.flex]=\"'0 1 auto'\" pFrozenColumn>\r\n <ng-container *ngIf=\"col.values; else plainData\">\r\n {{ col.values[row[col.field]] }}\r\n </ng-container>\r\n </td>\r\n </ng-container>\r\n <ng-template #bodyCell>\r\n <td [style.width.px]=\"col.width || 200\" [style.flex]=\"(col.flexGrow || 1) + ' 1 auto'\">\r\n <ng-container *ngIf=\"col.values; else plainData\">\r\n {{ col.values[row[col.field]] }}\r\n </ng-container>\r\n </td>\r\n </ng-template>\r\n <ng-template #plainData>{{col.formatDate ? (parseDate(row[col.field]) | date : ('formatofecha' | translate )) : row[col.field] }}</ng-template>\r\n </ng-container>\r\n </tr>\r\n </ng-template>\r\n </ng-template>\r\n <ng-template pTemplate=\"emptymessage\">\r\n <tr>\r\n <td colspan=\"100\" class=\"p-3 font-medium emptymessage\">{{'mensajetablavacia' | translate}}</td>\r\n </tr>\r\n </ng-template>\r\n</p-table>\r\n" }]
65
+ }], ctorParameters: () => [], propDecorators: { dataKey: [{
66
+ type: Input
67
+ }], value: [{
68
+ type: Input
69
+ }], columns: [{
70
+ type: Input
71
+ }], rows: [{
72
+ type: Input
73
+ }], totalRecords: [{
74
+ type: Input
75
+ }], loading: [{
76
+ type: Input
77
+ }], ocultarFraccionador: [{
78
+ type: Input
79
+ }], onLoad: [{
80
+ type: Output
81
+ }], onRowSelect: [{
82
+ type: Output
83
+ }], templates: [{
84
+ type: ContentChildren,
85
+ args: [PrimeTemplate]
86
+ }], table: [{
87
+ type: ViewChild,
88
+ args: [Table]
89
+ }], onResize: [{
90
+ type: HostListener,
91
+ args: ['window:resize']
92
+ }] } });
93
+ //# sourceMappingURL=data:application/json;base64,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
@@ -0,0 +1,23 @@
1
+ import { NgModule } from '@angular/core';
2
+ import * as i0 from "@angular/core";
3
+ export class CoreModule {
4
+ static forRoot() {
5
+ return {
6
+ ngModule: CoreModule,
7
+ providers: [],
8
+ };
9
+ }
10
+ static ɵfac = i0.ɵɵngDeclareFactory({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: CoreModule, deps: [], target: i0.ɵɵFactoryTarget.NgModule });
11
+ static ɵmod = i0.ɵɵngDeclareNgModule({ minVersion: "14.0.0", version: "18.0.4", ngImport: i0, type: CoreModule });
12
+ static ɵinj = i0.ɵɵngDeclareInjector({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: CoreModule });
13
+ }
14
+ i0.ɵɵngDeclareClassMetadata({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: CoreModule, decorators: [{
15
+ type: NgModule,
16
+ args: [{
17
+ declarations: [],
18
+ imports: [],
19
+ providers: [],
20
+ exports: [],
21
+ }]
22
+ }] });
23
+ //# sourceMappingURL=data:application/json;base64,eyJ2ZXJzaW9uIjozLCJmaWxlIjoiY29yZS5tb2R1bGUuanMiLCJzb3VyY2VSb290IjoiIiwic291cmNlcyI6WyIuLi8uLi8uLi8uLi8uLi9zcmMvYXBwL2NvcmUvY29yZS5tb2R1bGUudHMiXSwibmFtZXMiOltdLCJtYXBwaW5ncyI6IkFBQUEsT0FBTyxFQUF1QixRQUFRLEVBQUUsTUFBTSxlQUFlLENBQUM7O0FBYTlELE1BQU0sT0FBTyxVQUFVO0lBQ2QsTUFBTSxDQUFDLE9BQU87UUFDbkIsT0FBTztZQUNMLFFBQVEsRUFBRSxVQUFVO1lBQ3BCLFNBQVMsRUFBRSxFQUNWO1NBQ0YsQ0FBQztJQUNKLENBQUM7dUdBUFUsVUFBVTt3R0FBVixVQUFVO3dHQUFWLFVBQVU7OzJGQUFWLFVBQVU7a0JBVnRCLFFBQVE7bUJBQUM7b0JBQ1IsWUFBWSxFQUFFLEVBQ2I7b0JBQ0QsT0FBTyxFQUFFLEVBQ1I7b0JBQ0QsU0FBUyxFQUFFLEVBQ1Y7b0JBQ0QsT0FBTyxFQUFFLEVBQ1I7aUJBQ0YiLCJzb3VyY2VzQ29udGVudCI6WyJpbXBvcnQgeyBNb2R1bGVXaXRoUHJvdmlkZXJzLCBOZ01vZHVsZSB9IGZyb20gJ0Bhbmd1bGFyL2NvcmUnO1xyXG4gXHJcblxyXG5ATmdNb2R1bGUoe1xyXG4gIGRlY2xhcmF0aW9uczogW1xyXG4gIF0sXHJcbiAgaW1wb3J0czogW1xyXG4gIF0sXHJcbiAgcHJvdmlkZXJzOiBbXHJcbiAgXSxcclxuICBleHBvcnRzOiBbXHJcbiAgXSxcclxufSlcclxuZXhwb3J0IGNsYXNzIENvcmVNb2R1bGUge1xyXG4gIHB1YmxpYyBzdGF0aWMgZm9yUm9vdCgpOiBNb2R1bGVXaXRoUHJvdmlkZXJzPGFueT4ge1xyXG4gICAgcmV0dXJuIHtcclxuICAgICAgbmdNb2R1bGU6IENvcmVNb2R1bGUsXHJcbiAgICAgIHByb3ZpZGVyczogW1xyXG4gICAgICBdLFxyXG4gICAgfTtcclxuICB9XHJcbn1cclxuIl19
@@ -0,0 +1,7 @@
1
+ export class AuthenticationError extends Error {
2
+ constructor(message) {
3
+ super(message);
4
+ this.name = this.constructor.name;
5
+ }
6
+ }
7
+ //# sourceMappingURL=data:application/json;base64,eyJ2ZXJzaW9uIjozLCJmaWxlIjoiYXV0aGVudGljYXRpb24uZXJyb3IuanMiLCJzb3VyY2VSb290IjoiIiwic291cmNlcyI6WyIuLi8uLi8uLi8uLi8uLi8uLi9zcmMvYXBwL2NvcmUvZXJyb3Jlcy9hdXRoZW50aWNhdGlvbi5lcnJvci50cyJdLCJuYW1lcyI6W10sIm1hcHBpbmdzIjoiQUFBQSxNQUFNLE9BQU8sbUJBQW9CLFNBQVEsS0FBSztJQUM1QyxZQUFZLE9BQU87UUFDakIsS0FBSyxDQUFDLE9BQU8sQ0FBQyxDQUFDO1FBQ2YsSUFBSSxDQUFDLElBQUksR0FBRyxJQUFJLENBQUMsV0FBVyxDQUFDLElBQUksQ0FBQztJQUNwQyxDQUFDO0NBQ0YiLCJzb3VyY2VzQ29udGVudCI6WyJleHBvcnQgY2xhc3MgQXV0aGVudGljYXRpb25FcnJvciBleHRlbmRzIEVycm9yIHtcclxuICBjb25zdHJ1Y3RvcihtZXNzYWdlKSB7XHJcbiAgICBzdXBlcihtZXNzYWdlKTtcclxuICAgIHRoaXMubmFtZSA9IHRoaXMuY29uc3RydWN0b3IubmFtZTtcclxuICB9XHJcbn1cclxuIl19
@@ -0,0 +1,2 @@
1
+ export {};
2
+ //# sourceMappingURL=data:application/json;base64,eyJ2ZXJzaW9uIjozLCJmaWxlIjoiZXJyb3ItcmVzcG9uc2UubW9kZWwuanMiLCJzb3VyY2VSb290IjoiIiwic291cmNlcyI6WyIuLi8uLi8uLi8uLi8uLi8uLi9zcmMvYXBwL2NvcmUvbW9kZWxvcy9lcnJvci1yZXNwb25zZS5tb2RlbC50cyJdLCJuYW1lcyI6W10sIm1hcHBpbmdzIjoiIiwic291cmNlc0NvbnRlbnQiOlsiZXhwb3J0IGludGVyZmFjZSBFcnJvclJlc3BvbnNlTW9kZWwge1xyXG4gIG1lbnNhamU6IHN0cmluZztcclxuICBjb2RpZ286IHN0cmluZztcclxuICB0aXBvOiBzdHJpbmc7XHJcbiAgZXJyb3JDb2RlOiBzdHJpbmc7XHJcbiAgY2F1c2E6IHN0cmluZztcclxuICB0cmF6YTogc3RyaW5nO1xyXG4gIGFjY2lvbjogc3RyaW5nO1xyXG59XHJcbiJdfQ==
@@ -0,0 +1,2 @@
1
+ export {};
2
+ //# sourceMappingURL=data:application/json;base64,eyJ2ZXJzaW9uIjozLCJmaWxlIjoidGFibGUtY29sdW1uLm1vZGVsLmpzIiwic291cmNlUm9vdCI6IiIsInNvdXJjZXMiOlsiLi4vLi4vLi4vLi4vLi4vLi4vc3JjL2FwcC9jb3JlL21vZGVsb3MvdGFibGUtY29sdW1uLm1vZGVsLnRzIl0sIm5hbWVzIjpbXSwibWFwcGluZ3MiOiIiLCJzb3VyY2VzQ29udGVudCI6WyJleHBvcnQgaW50ZXJmYWNlIFRhYmxlQ29sdW1uIHtcclxuICBmaWVsZDogc3RyaW5nO1xyXG4gIGhlYWRlcjogc3RyaW5nO1xyXG4gIGlzRnJvemVuPzogYm9vbGVhbjtcclxuICB3aWR0aD86IG51bWJlcjtcclxuICBmbGV4R3Jvdz86IG51bWJlcjtcclxuICBjYW5PcmRlcj86IGJvb2xlYW47XHJcbiAgdmFsdWVzPzogeyBba2V5OiBzdHJpbmddOiBzdHJpbmcgfTtcclxuICBmb3JtYXREYXRlPzogYm9vbGVhbjtcclxufVxyXG4iXX0=
@@ -0,0 +1,7 @@
1
+ export class UserLoginCredentials {
2
+ login;
3
+ clave;
4
+ aplicacion;
5
+ base;
6
+ }
7
+ //# sourceMappingURL=data:application/json;base64,eyJ2ZXJzaW9uIjozLCJmaWxlIjoidXNlci5tb2RlbC5qcyIsInNvdXJjZVJvb3QiOiIiLCJzb3VyY2VzIjpbIi4uLy4uLy4uLy4uLy4uLy4uL3NyYy9hcHAvY29yZS9tb2RlbG9zL3VzZXIubW9kZWwudHMiXSwibmFtZXMiOltdLCJtYXBwaW5ncyI6IkFBQUEsTUFBTSxPQUFPLG9CQUFvQjtJQUMvQixLQUFLLENBQVM7SUFDZCxLQUFLLENBQVM7SUFDZCxVQUFVLENBQVM7SUFDbkIsSUFBSSxDQUFTO0NBQ2QiLCJzb3VyY2VzQ29udGVudCI6WyJleHBvcnQgY2xhc3MgVXNlckxvZ2luQ3JlZGVudGlhbHMge1xyXG4gIGxvZ2luOiBzdHJpbmc7XHJcbiAgY2xhdmU6IHN0cmluZztcclxuICBhcGxpY2FjaW9uOiBzdHJpbmc7XHJcbiAgYmFzZTogc3RyaW5nO1xyXG59XHJcbiJdfQ==