general-library-union 3.0.46 → 3.0.48

Sign up to get free protection for your applications and to get access to all the features.
Files changed (653) hide show
  1. package/.browserslistrc +15 -0
  2. package/.editorconfig +16 -0
  3. package/.vscode/extensions.json +4 -0
  4. package/.vscode/launch.json +20 -0
  5. package/.vscode/tasks.json +42 -0
  6. package/angular.json +143 -0
  7. package/karma.conf.js +44 -0
  8. package/ng-package.json +21 -0
  9. package/package.json +73 -62
  10. package/{public-api.d.ts → public-api.ts} +201 -161
  11. package/src/app/app.component.html +12 -0
  12. package/src/app/app.component.scss +0 -0
  13. package/src/app/app.component.spec.ts +29 -0
  14. package/src/app/app.component.ts +34 -0
  15. package/src/app/app.config.ts +43 -0
  16. package/src/app/app.module.ts +10 -0
  17. package/src/app/app.routes.ts +88 -0
  18. package/src/app/core/componentes/breadcrumb/breadcrumb.component.html +6 -0
  19. package/src/app/core/componentes/breadcrumb/breadcrumb.component.scss +0 -0
  20. package/src/app/core/componentes/breadcrumb/breadcrumb.component.ts +39 -0
  21. package/src/app/core/componentes/carga-breadcrumb/carga-breadcrumb.component.html +1 -0
  22. package/src/app/core/componentes/carga-breadcrumb/carga-breadcrumb.component.scss +0 -0
  23. package/src/app/core/componentes/carga-breadcrumb/carga-breadcrumb.component.ts +31 -0
  24. package/src/app/core/componentes/carga-pantalla-completa/carga-pantalla-completa.component.html +9 -0
  25. package/src/app/core/componentes/carga-pantalla-completa/carga-pantalla-completa.component.scss +28 -0
  26. package/src/app/core/componentes/carga-pantalla-completa/carga-pantalla-completa.component.ts +32 -0
  27. package/src/app/core/componentes/filtro-general/filtro-general.component.html +36 -0
  28. package/src/app/core/componentes/filtro-general/filtro-general.component.scss +3 -0
  29. package/src/app/core/componentes/filtro-general/filtro-general.component.ts +203 -0
  30. package/src/app/core/componentes/footer/footer.component.html +13 -0
  31. package/src/app/core/componentes/footer/footer.component.scss +3 -0
  32. package/src/app/core/componentes/footer/footer.component.ts +20 -0
  33. package/src/app/core/componentes/formulariodinamico/formulariodinamico.component.html +267 -0
  34. package/src/app/core/componentes/formulariodinamico/formulariodinamico.component.scss +21 -0
  35. package/src/app/core/componentes/formulariodinamico/formulariodinamico.component.ts +275 -0
  36. package/src/app/core/componentes/formulariodinamico/objetosformulario/{Cambio.d.ts → Cambio.ts} +13 -7
  37. package/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormulario.ts +118 -0
  38. package/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormularioCons.ts +76 -0
  39. package/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormularioNuevo.ts +67 -0
  40. package/src/app/core/componentes/formulariodinamico/objetosformulario/HashDirective.ts +11 -0
  41. package/src/app/core/componentes/inicio-component/inicio-component.component.html +29 -0
  42. package/src/app/core/componentes/inicio-component/inicio-component.component.scss +69 -0
  43. package/src/app/core/componentes/inicio-component/inicio-component.component.ts +70 -0
  44. package/src/app/core/componentes/menu/menu.component.html +13 -0
  45. package/src/app/core/componentes/menu/menu.component.scss +36 -0
  46. package/src/app/core/componentes/menu/menu.component.ts +35 -0
  47. package/src/app/core/componentes/tabla-general/tabla-general.component.html +90 -0
  48. package/src/app/core/componentes/tabla-general/tabla-general.component.scss +0 -0
  49. package/src/app/core/componentes/tabla-general/tabla-general.component.ts +96 -0
  50. package/src/app/core/core.module.ts +22 -0
  51. package/src/app/core/errores/authentication.error.ts +6 -0
  52. package/src/app/core/modelos/error-response.model.ts +9 -0
  53. package/src/app/core/modelos/table-column.model.ts +10 -0
  54. package/src/app/core/modelos/user.model.ts +6 -0
  55. package/src/app/core/plantilla-general/plantilla-general.template.html +139 -0
  56. package/src/app/core/plantilla-general/plantilla-general.template.scss +37 -0
  57. package/src/app/core/plantilla-general/plantilla-general.template.ts +233 -0
  58. package/src/app/core/servicios/auth.service.ts +189 -0
  59. package/src/app/core/servicios/cargar-control-funcionalidad.service.ts +49 -0
  60. package/src/app/core/servicios/data-exporter-table.utils.ts +78 -0
  61. package/src/app/core/servicios/error-interceptor.service.ts +208 -0
  62. package/src/app/core/servicios/guardias/auth.guard.ts +51 -0
  63. package/src/app/core/servicios/guardias/publico.guard.ts +26 -0
  64. package/src/app/core/servicios/interceptores/loading-interceptor.ts +37 -0
  65. package/src/app/core/servicios/interceptores/token-interceptor.service.ts +177 -0
  66. package/src/app/core/servicios/loading.service.ts +48 -0
  67. package/src/app/core/servicios/menu.service.ts +153 -0
  68. package/src/app/core/servicios/spinner-service.ts +18 -0
  69. package/src/app/core/servicios/storage.service.ts +68 -0
  70. package/src/app/core/servicios/template.service.ts +91 -0
  71. package/src/app/core/servicios/toast.service.ts +114 -0
  72. package/src/app/core/servicios/utils.service.ts +367 -0
  73. package/src/app/core/sharedlib.module.ts +11 -0
  74. package/src/app/core/utilidades/color.util.ts +197 -0
  75. package/src/app/layout/api/{menuchangeevent.d.ts → menuchangeevent.ts} +4 -4
  76. package/src/app/layout/app.breadcrumb.component.html +20 -0
  77. package/src/app/layout/app.breadcrumb.component.ts +78 -0
  78. package/src/app/layout/app.footer.component.html +18 -0
  79. package/src/app/layout/app.footer.component.ts +15 -0
  80. package/src/app/layout/app.layout.component.html +20 -0
  81. package/src/app/layout/app.layout.component.ts +201 -0
  82. package/src/app/layout/app.layout.module.ts +9 -0
  83. package/src/app/layout/app.menu.component.html +6 -0
  84. package/src/app/layout/app.menu.component.ts +51 -0
  85. package/src/app/layout/app.menu.service.ts +23 -0
  86. package/src/app/layout/app.menuitem.component.ts +252 -0
  87. package/src/app/layout/app.menuprofile.component.html +35 -0
  88. package/src/app/layout/app.menuprofile.component.ts +60 -0
  89. package/src/app/layout/app.rightmenu.component.html +72 -0
  90. package/src/app/layout/app.rightmenu.component.ts +24 -0
  91. package/src/app/layout/app.sidebar.component.html +40 -0
  92. package/src/app/layout/app.sidebar.component.ts +81 -0
  93. package/src/app/layout/app.topbar.component.html +214 -0
  94. package/src/app/layout/app.topbar.component.ts +336 -0
  95. package/src/app/layout/config/app.config.component.html +125 -0
  96. package/src/app/layout/config/app.config.component.ts +206 -0
  97. package/src/app/layout/config/app.config.module.ts +11 -0
  98. package/src/app/layout/service/app.layout.service.ts +191 -0
  99. package/src/app/publico/pages/login/login.page.html +53 -0
  100. package/src/app/publico/pages/login/login.page.scss +166 -0
  101. package/src/app/publico/pages/login/login.page.ts +128 -0
  102. package/src/app/publico/pages/not-found/not-found.page.html +35 -0
  103. package/src/app/publico/pages/not-found/not-found.page.scss +2 -0
  104. package/src/app/publico/pages/not-found/not-found.page.ts +46 -0
  105. package/src/app/publico/pages/seleccion-rol/seleccion-rol.component.html +58 -0
  106. package/src/app/publico/pages/seleccion-rol/seleccion-rol.component.scss +49 -0
  107. package/src/app/publico/pages/seleccion-rol/seleccion-rol.component.ts +176 -0
  108. package/src/app/publico/pages/timeout/timeout.page.html +24 -0
  109. package/src/app/publico/pages/timeout/timeout.page.scss +2 -0
  110. package/src/app/publico/pages/timeout/timeout.page.ts +18 -0
  111. package/src/app/seguridad/constantes/ConstantesGenerales.ts +30 -0
  112. package/src/app/seguridad/constantes/ReporteEntradaConstantes.ts +18 -0
  113. package/src/app/seguridad/constantes/ReporteFuenteConstantes.ts +13 -0
  114. package/src/app/seguridad/modelos/AplicacionModel.ts +11 -0
  115. package/src/app/seguridad/modelos/ArchivoPortalModel.ts +16 -0
  116. package/src/app/seguridad/modelos/AtributoPuntoModel.ts +9 -0
  117. package/src/app/seguridad/modelos/AtributoSSOportalModel.ts +22 -0
  118. package/src/app/seguridad/modelos/{AuditoriaArchivoModel.d.ts → AuditoriaArchivoModel.ts} +13 -10
  119. package/src/app/seguridad/modelos/CargueLineaModel.ts +17 -0
  120. package/src/app/seguridad/modelos/ColoresRGB.ts +17 -0
  121. package/src/app/seguridad/modelos/ColumnaFuenteModel.ts +9 -0
  122. package/src/app/seguridad/modelos/{ColumnaWraperModel.d.ts → ColumnaWraperModel.ts} +7 -6
  123. package/src/app/seguridad/modelos/ComplementoModel.ts +10 -0
  124. package/src/app/seguridad/modelos/ComponentePaginaModel.ts +22 -0
  125. package/src/app/seguridad/modelos/ConexionModel.ts +15 -0
  126. package/src/app/seguridad/modelos/{ContenidoHtmlPortalModel.d.ts → ContenidoHtmlPortalModel.ts} +25 -20
  127. package/src/app/seguridad/modelos/ControlFuncionModel.ts +10 -0
  128. package/src/app/seguridad/modelos/CorreoModel.ts +45 -0
  129. package/src/app/seguridad/modelos/CorreoWSModel.ts +49 -0
  130. package/src/app/seguridad/modelos/CruceRecursoPuntoModel.ts +14 -0
  131. package/src/app/seguridad/modelos/{DatoInicioModel.d.ts → DatoInicioModel.ts} +7 -6
  132. package/src/app/seguridad/modelos/{EjecucionReporteDataModel.d.ts → EjecucionReporteDataModel.ts} +21 -20
  133. package/src/app/seguridad/modelos/EmpresaModel.ts +25 -0
  134. package/src/app/seguridad/modelos/EmpresaSeguridadModel.ts +19 -0
  135. package/src/app/seguridad/modelos/{EntradaComplementoModel.d.ts → EntradaComplementoModel.ts} +9 -6
  136. package/src/app/seguridad/modelos/EtiquetaModel.ts +4 -0
  137. package/src/app/seguridad/modelos/Etiquetas.ts +14 -0
  138. package/src/app/seguridad/modelos/{ForeingWraperModel.d.ts → ForeingWraperModel.ts} +9 -6
  139. package/src/app/seguridad/modelos/FuenteCampoAtributoModel.ts +21 -0
  140. package/src/app/seguridad/modelos/{FuenteCampoModel.d.ts → FuenteCampoModel.ts} +20 -16
  141. package/src/app/seguridad/modelos/FuenteDato.ts +19 -0
  142. package/src/app/seguridad/modelos/FuenteEntradaModel.ts +9 -0
  143. package/src/app/seguridad/modelos/{FuenteGeneralModel.d.ts → FuenteGeneralModel.ts} +55 -36
  144. package/src/app/seguridad/modelos/FuenteLineaServicioModel.ts +19 -0
  145. package/src/app/seguridad/modelos/FuenteProcesoGeneralModel.ts +14 -0
  146. package/src/app/seguridad/modelos/{FuenteServicioModel.d.ts → FuenteServicioModel.ts} +61 -47
  147. package/src/app/seguridad/modelos/FuncionalidadModel.ts +12 -0
  148. package/src/app/seguridad/modelos/GeneralModel.ts +16 -0
  149. package/src/app/seguridad/modelos/{GrupoAccesoModel.d.ts → GrupoAccesoModel.ts} +9 -6
  150. package/src/app/seguridad/modelos/GrupoControlFuncionModel.ts +12 -0
  151. package/src/app/seguridad/modelos/GrupoFuenteModel.ts +17 -0
  152. package/src/app/seguridad/modelos/GrupoPermisoModel.ts +16 -0
  153. package/src/app/seguridad/modelos/{JoinFromModel.d.ts → JoinFromModel.ts} +4 -4
  154. package/src/app/seguridad/modelos/ListaServicioModel.ts +18 -0
  155. package/src/app/seguridad/modelos/LlaveForaneaModel.ts +6 -0
  156. package/src/app/seguridad/modelos/LoginModel.ts +19 -0
  157. package/src/app/seguridad/modelos/MensajeSistemaModel.ts +10 -0
  158. package/src/app/seguridad/modelos/{MenuPortalModel.d.ts → MenuPortalModel.ts} +5 -4
  159. package/src/app/seguridad/modelos/ModulosModel.ts +17 -0
  160. package/src/app/seguridad/modelos/{ObjetoReporteModel.d.ts → ObjetoReporteModel.ts} +6 -5
  161. package/src/app/seguridad/modelos/PaginaPortalModel.ts +23 -0
  162. package/src/app/seguridad/modelos/ParametroComponenteModel.ts +9 -0
  163. package/src/app/seguridad/modelos/ParametrosGeneralModel.ts +16 -0
  164. package/src/app/seguridad/modelos/PermisoFuncionModel.ts +11 -0
  165. package/src/app/seguridad/modelos/PermisocontenidoModel.ts +20 -0
  166. package/src/app/seguridad/modelos/{PeticionModel.d.ts → PeticionModel.ts} +20 -15
  167. package/src/app/seguridad/modelos/PreguntaSeguridadModel.ts +9 -0
  168. package/src/app/seguridad/modelos/PropiedadModel.ts +12 -0
  169. package/src/app/seguridad/modelos/PublicacionWebModel.ts +29 -0
  170. package/src/app/seguridad/modelos/PuntoProcesoModel.ts +7 -0
  171. package/src/app/seguridad/modelos/RecursoPunto.ts +13 -0
  172. package/src/app/seguridad/modelos/RecursoPuntoModel.ts +13 -0
  173. package/src/app/seguridad/modelos/ReporteBanda.ts +9 -0
  174. package/src/app/seguridad/modelos/ReporteColumna.ts +33 -0
  175. package/src/app/seguridad/modelos/ReporteColumnario.ts +82 -0
  176. package/src/app/seguridad/modelos/ReporteColumnarioMarcador.ts +31 -0
  177. package/src/app/seguridad/modelos/{ReporteEntradaModel.d.ts → ReporteEntradaModel.ts} +21 -19
  178. package/src/app/seguridad/modelos/{ReporteFuenteModel.d.ts → ReporteFuenteModel.ts} +141 -87
  179. package/src/app/seguridad/modelos/ReporteMarcador.ts +40 -0
  180. package/src/app/seguridad/modelos/ReporteSoporte.ts +10 -0
  181. package/src/app/seguridad/modelos/ReporteTabla.ts +14 -0
  182. package/src/app/seguridad/modelos/RespuestaModel.ts +10 -0
  183. package/src/app/seguridad/modelos/{RolModel.d.ts → RolModel.ts} +41 -37
  184. package/src/app/seguridad/modelos/ServidorCorreoModel.ts +31 -0
  185. package/src/app/seguridad/modelos/SistemaMensajeModel.ts +11 -0
  186. package/src/app/seguridad/modelos/SitioPortalModel.ts +29 -0
  187. package/src/app/seguridad/modelos/TablaFromModel.ts +5 -0
  188. package/src/app/seguridad/modelos/TareasModel.ts +54 -0
  189. package/src/app/seguridad/modelos/TextoModel.ts +11 -0
  190. package/src/app/seguridad/modelos/TipoEtiqueta.ts +8 -0
  191. package/src/app/seguridad/modelos/UnionModel.ts +14 -0
  192. package/src/app/seguridad/modelos/{UsuarioModel.d.ts → UsuarioModel.ts} +60 -49
  193. package/src/app/seguridad/modelos/UsuariogrupoModel.ts +27 -0
  194. package/src/app/seguridad/modelos/aplicacion-propiedad-model.ts +16 -0
  195. package/src/app/seguridad/modelos/contenidoCorreoModel.ts +13 -0
  196. package/src/app/shared/componentes/input-helper-seleccion-plantilla/input-helper-seleccion-plantilla.component.html +47 -0
  197. package/src/app/shared/componentes/input-helper-seleccion-plantilla/input-helper-seleccion-plantilla.component.scss +8 -0
  198. package/src/app/shared/componentes/input-helper-seleccion-plantilla/input-helper-seleccion-plantilla.component.ts +144 -0
  199. package/src/app/shared/servicios/cargar-archivos.service.ts +51 -0
  200. package/src/app/shared/servicios/cargar-mapas.service.ts +43 -0
  201. package/src/app/shared/servicios/encriptado-basico.service.ts +54 -0
  202. package/src/app/shared/servicios/encriptador-simetrico.service.ts +29 -0
  203. package/src/app/shared/servicios/general.service.ts +124 -0
  204. package/src/app/shared/servicios/parametros-navegacion.service.ts +20 -0
  205. package/src/app/shared/servicios/plantillas.service.ts +22 -0
  206. package/src/app/shared/servicios/tarea.service.ts +71 -0
  207. package/src/app/shared/utilidades/translate-loader.util.ts +44 -0
  208. package/src/app/webcommon/pages/cargue-plantilla/cargue-plantilla-general/cargue-plantilla-general.component.html +175 -0
  209. package/src/app/webcommon/pages/cargue-plantilla/cargue-plantilla-general/cargue-plantilla-general.component.scss +78 -0
  210. package/src/app/webcommon/pages/cargue-plantilla/cargue-plantilla-general/cargue-plantilla-general.component.ts +438 -0
  211. package/src/app/webcommon/pages/ejecucion-reporte/detalle-campo-reporte/detalle-campo-reporte.component.html +87 -0
  212. package/src/app/webcommon/pages/ejecucion-reporte/detalle-campo-reporte/detalle-campo-reporte.component.scss +0 -0
  213. package/src/app/webcommon/pages/ejecucion-reporte/detalle-campo-reporte/detalle-campo-reporte.component.ts +189 -0
  214. package/src/app/webcommon/pages/ejecucion-reporte/detalle-distribucion-reporte/detalle-distribucion-reporte.component.html +117 -0
  215. package/src/app/webcommon/pages/ejecucion-reporte/detalle-distribucion-reporte/detalle-distribucion-reporte.component.scss +0 -0
  216. package/src/app/webcommon/pages/ejecucion-reporte/detalle-distribucion-reporte/detalle-distribucion-reporte.component.ts +87 -0
  217. package/src/app/webcommon/pages/ejecucion-reporte/detalle-ejecucion-reporte/detalle-ejecucion-reporte.page.html +158 -0
  218. package/src/app/webcommon/pages/ejecucion-reporte/detalle-ejecucion-reporte/detalle-ejecucion-reporte.page.scss +6 -0
  219. package/src/app/webcommon/pages/ejecucion-reporte/detalle-ejecucion-reporte/detalle-ejecucion-reporte.page.ts +345 -0
  220. package/src/app/webcommon/pages/ejecucion-reporte/detalle-entrada-reporte/detalle-entrada-reporte.component.html +131 -0
  221. package/src/app/webcommon/pages/ejecucion-reporte/detalle-entrada-reporte/detalle-entrada-reporte.component.scss +0 -0
  222. package/src/app/webcommon/pages/ejecucion-reporte/detalle-entrada-reporte/detalle-entrada-reporte.component.ts +198 -0
  223. package/src/app/webcommon/pages/ejecucion-reporte/detalle-punto-proceso-reporte/detalle-punto-proceso-reporte.component.html +206 -0
  224. package/src/app/webcommon/pages/ejecucion-reporte/detalle-punto-proceso-reporte/detalle-punto-proceso-reporte.component.scss +3 -0
  225. package/src/app/webcommon/pages/ejecucion-reporte/detalle-punto-proceso-reporte/detalle-punto-proceso-reporte.component.ts +330 -0
  226. package/src/app/webcommon/pages/ejecucion-reporte/detalle-tipo-reporte/detalle-tipo-reporte.component.html +2121 -0
  227. package/src/app/webcommon/pages/ejecucion-reporte/detalle-tipo-reporte/detalle-tipo-reporte.component.scss +241 -0
  228. package/src/app/webcommon/pages/ejecucion-reporte/detalle-tipo-reporte/detalle-tipo-reporte.component.ts +1694 -0
  229. package/src/app/webcommon/pages/ejecucion-reporte/listado-ejecucion-reporte/listado-ejecucion-reporte.page.html +33 -0
  230. package/src/app/webcommon/pages/ejecucion-reporte/listado-ejecucion-reporte/listado-ejecucion-reporte.page.scss +0 -0
  231. package/src/app/webcommon/pages/ejecucion-reporte/listado-ejecucion-reporte/listado-ejecucion-reporte.page.ts +96 -0
  232. package/src/app/webcommon/pages/ejecucion-reporte-externo/listado-ejecucion-reporte-externo/listado-ejecucion-reporte-externo.component.html +54 -0
  233. package/src/app/webcommon/pages/ejecucion-reporte-externo/listado-ejecucion-reporte-externo/listado-ejecucion-reporte-externo.component.scss +0 -0
  234. package/src/app/webcommon/pages/ejecucion-reporte-externo/listado-ejecucion-reporte-externo/listado-ejecucion-reporte-externo.component.ts +111 -0
  235. package/src/app/webcommon/pages/fuente/importar-fuente-con/importar-fuente-con.component.html +394 -0
  236. package/src/app/webcommon/pages/fuente/importar-fuente-con/importar-fuente-con.component.scss +10 -0
  237. package/src/app/webcommon/pages/fuente/importar-fuente-con/importar-fuente-con.component.ts +599 -0
  238. package/src/app/webcommon/pages/fuente/importar-fuente-general/importar-fuente-general.component.html +130 -0
  239. package/src/app/webcommon/pages/fuente/importar-fuente-general/importar-fuente-general.component.scss +0 -0
  240. package/src/app/webcommon/pages/fuente/importar-fuente-general/importar-fuente-general.component.ts +172 -0
  241. package/src/app/webcommon/pages/fuente-reporte/fuente-reporte.component.html +403 -0
  242. package/src/app/webcommon/pages/fuente-reporte/fuente-reporte.component.scss +5 -0
  243. package/src/app/webcommon/pages/fuente-reporte/fuente-reporte.component.ts +761 -0
  244. package/src/app/webcommon/pages/fuenteGeneral/fuente-general-servicio/fuente-general-servicio.component.html +145 -0
  245. package/src/app/webcommon/pages/fuenteGeneral/fuente-general-servicio/fuente-general-servicio.component.scss +0 -0
  246. package/src/app/webcommon/pages/fuenteGeneral/fuente-general-servicio/fuente-general-servicio.component.ts +302 -0
  247. package/src/app/webcommon/pages/fuenteGeneral/listado-fuente-general/listado-fuente-general.page.html +19 -0
  248. package/src/app/webcommon/pages/fuenteGeneral/listado-fuente-general/listado-fuente-general.page.scss +0 -0
  249. package/src/app/webcommon/pages/fuenteGeneral/listado-fuente-general/listado-fuente-general.page.ts +89 -0
  250. package/src/app/webcommon/pages/fuenteGeneral/mantenimiento-fuente-general/mantenimiento-fuente-general.page.html +714 -0
  251. package/src/app/webcommon/pages/fuenteGeneral/mantenimiento-fuente-general/mantenimiento-fuente-general.page.scss +0 -0
  252. package/src/app/webcommon/pages/fuenteGeneral/mantenimiento-fuente-general/mantenimiento-fuente-general.page.ts +688 -0
  253. package/src/app/webcommon/pipes/dashboard.pipe.ts +79 -0
  254. package/src/app/webcommon/pipes/fuente.pipe.ts +207 -0
  255. package/src/app/webcommon/pipes/general.pipe.ts +1043 -0
  256. package/src/app/webcommon/pipes/reporte-columnario.pipe.ts +101 -0
  257. package/src/app/webcommon/pipes/reporte-fuente.pipe.ts +306 -0
  258. package/src/app/webcommon/pipes/sara-general.pipe.ts +289 -0
  259. package/src/app/webcommon/popups/popup-campos-fuente-general/popup-campos-fuente-general.component.html +90 -0
  260. package/src/app/webcommon/popups/popup-campos-fuente-general/popup-campos-fuente-general.component.scss +0 -0
  261. package/src/app/webcommon/popups/popup-campos-fuente-general/popup-campos-fuente-general.component.ts +262 -0
  262. package/src/app/webcommon/popups/popup-convertir-plantillas/popup-convertir-plantillas.component.html +50 -0
  263. package/src/app/webcommon/popups/popup-convertir-plantillas/popup-convertir-plantillas.component.scss +0 -0
  264. package/src/app/webcommon/popups/popup-convertir-plantillas/popup-convertir-plantillas.component.ts +135 -0
  265. package/src/app/webcommon/popups/popup-editor-formula/popup-editor-formula.component.html +44 -0
  266. package/src/app/webcommon/popups/popup-editor-formula/popup-editor-formula.component.scss +0 -0
  267. package/src/app/webcommon/popups/popup-editor-formula/popup-editor-formula.component.ts +66 -0
  268. package/src/app/webcommon/popups/popup-punto-proceso/popup-punto-proceso.component.html +41 -0
  269. package/src/app/webcommon/popups/popup-punto-proceso/popup-punto-proceso.component.scss +0 -0
  270. package/src/app/webcommon/popups/popup-punto-proceso/popup-punto-proceso.component.ts +283 -0
  271. package/src/app/webcommon/popups/popup-recurso/popup-recurso.component.html +179 -0
  272. package/src/app/webcommon/popups/popup-recurso/popup-recurso.component.scss +3 -0
  273. package/src/app/webcommon/popups/popup-recurso/popup-recurso.component.ts +266 -0
  274. package/src/app/webcommon/popups/popup-reporte/popup-reporte.component.html +273 -0
  275. package/src/app/webcommon/popups/popup-reporte/popup-reporte.component.scss +13 -0
  276. package/src/app/webcommon/popups/popup-reporte/popup-reporte.component.ts +557 -0
  277. package/src/app/webcommon/popups/popup-sentencia/popup-sentencia.component.html +10 -0
  278. package/src/app/webcommon/popups/popup-sentencia/popup-sentencia.component.scss +5 -0
  279. package/src/app/webcommon/popups/popup-sentencia/popup-sentencia.component.ts +58 -0
  280. package/src/app/webcommon/popups/popup-tablas-fuente/popup-tablas-fuente.component.html +71 -0
  281. package/src/app/webcommon/popups/popup-tablas-fuente/popup-tablas-fuente.component.scss +0 -0
  282. package/src/app/webcommon/popups/popup-tablas-fuente/popup-tablas-fuente.component.ts +154 -0
  283. package/src/app/webcommon/popups/punto-proceso-comp/punto-proceso-comp.component.html +11 -0
  284. package/src/app/webcommon/popups/punto-proceso-comp/punto-proceso-comp.component.scss +0 -0
  285. package/src/app/webcommon/popups/punto-proceso-comp/punto-proceso-comp.component.ts +82 -0
  286. package/src/app/webcommon/services/carguelinea.service.ts +74 -0
  287. package/src/app/webcommon/services/dashboard.service.ts +258 -0
  288. package/src/app/webcommon/services/ejecucionreporte.service.ts +160 -0
  289. package/src/app/webcommon/services/fechaUtils.service.ts +127 -0
  290. package/src/app/webcommon/services/fuentegeneral.service.ts +74 -0
  291. package/src/app/webcommon/services/homologacion.service.ts +49 -0
  292. package/src/app/webcommon/services/plantillas.service.ts +165 -0
  293. package/src/app/webcommon/services/reportes.service.ts +133 -0
  294. package/src/app/webcommon/webcommon.module.ts +11 -0
  295. package/src/environments/environment.prod.ts +3 -0
  296. package/src/environments/environment.ts +25 -0
  297. package/src/favicon.ico +0 -0
  298. package/src/index.html +14 -0
  299. package/src/main.ts +12 -0
  300. package/src/polyfills.ts +53 -0
  301. package/src/styles/primeng/sass/overrides/_theme_styles.scss +3 -0
  302. package/src/test.ts +14 -0
  303. package/tsconfig.app.json +15 -0
  304. package/tsconfig.json +35 -0
  305. package/tsconfig.spec.json +18 -0
  306. package/esm2022/general-library-union.mjs +0 -5
  307. package/esm2022/public-api.mjs +0 -180
  308. package/esm2022/src/app/core/componentes/breadcrumb/breadcrumb.component.mjs +0 -40
  309. package/esm2022/src/app/core/componentes/carga-pantalla-completa/carga-pantalla-completa.component.mjs +0 -28
  310. package/esm2022/src/app/core/componentes/filtro-general/filtro-general.component.mjs +0 -196
  311. package/esm2022/src/app/core/componentes/footer/footer.component.mjs +0 -16
  312. package/esm2022/src/app/core/componentes/formulariodinamico/formulariodinamico.component.mjs +0 -272
  313. package/esm2022/src/app/core/componentes/formulariodinamico/objetosformulario/Cambio.mjs +0 -11
  314. package/esm2022/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormulario.mjs +0 -124
  315. package/esm2022/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormularioCons.mjs +0 -73
  316. package/esm2022/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormularioNuevo.mjs +0 -59
  317. package/esm2022/src/app/core/componentes/formulariodinamico/objetosformulario/HashDirective.mjs +0 -21
  318. package/esm2022/src/app/core/componentes/inicio-component/inicio-component.component.mjs +0 -72
  319. package/esm2022/src/app/core/componentes/menu/menu.component.mjs +0 -37
  320. package/esm2022/src/app/core/componentes/tabla-general/tabla-general.component.mjs +0 -93
  321. package/esm2022/src/app/core/core.module.mjs +0 -23
  322. package/esm2022/src/app/core/errores/authentication.error.mjs +0 -7
  323. package/esm2022/src/app/core/modelos/error-response.model.mjs +0 -2
  324. package/esm2022/src/app/core/modelos/table-column.model.mjs +0 -2
  325. package/esm2022/src/app/core/modelos/user.model.mjs +0 -7
  326. package/esm2022/src/app/core/plantilla-general/plantilla-general.template.mjs +0 -230
  327. package/esm2022/src/app/core/servicios/auth.service.mjs +0 -185
  328. package/esm2022/src/app/core/servicios/cargar-control-funcionalidad.service.mjs +0 -57
  329. package/esm2022/src/app/core/servicios/data-exporter-table.utils.mjs +0 -64
  330. package/esm2022/src/app/core/servicios/error-interceptor.service.mjs +0 -214
  331. package/esm2022/src/app/core/servicios/guardias/auth.guard.mjs +0 -66
  332. package/esm2022/src/app/core/servicios/guardias/publico.guard.mjs +0 -35
  333. package/esm2022/src/app/core/servicios/interceptores/loading-interceptor.mjs +0 -37
  334. package/esm2022/src/app/core/servicios/interceptores/token-interceptor.service.mjs +0 -180
  335. package/esm2022/src/app/core/servicios/loading.service.mjs +0 -43
  336. package/esm2022/src/app/core/servicios/menu.service.mjs +0 -146
  337. package/esm2022/src/app/core/servicios/spinner-service.mjs +0 -22
  338. package/esm2022/src/app/core/servicios/storage.service.mjs +0 -68
  339. package/esm2022/src/app/core/servicios/template.service.mjs +0 -99
  340. package/esm2022/src/app/core/servicios/toast.service.mjs +0 -120
  341. package/esm2022/src/app/core/servicios/utils.service.mjs +0 -338
  342. package/esm2022/src/app/core/sharedlib.module.mjs +0 -16
  343. package/esm2022/src/app/core/utilidades/color.util.mjs +0 -177
  344. package/esm2022/src/app/layout/api/menuchangeevent.mjs +0 -2
  345. package/esm2022/src/app/layout/app.breadcrumb.component.mjs +0 -71
  346. package/esm2022/src/app/layout/app.footer.component.mjs +0 -18
  347. package/esm2022/src/app/layout/app.layout.component.mjs +0 -188
  348. package/esm2022/src/app/layout/app.layout.module.mjs +0 -15
  349. package/esm2022/src/app/layout/app.menu.component.mjs +0 -51
  350. package/esm2022/src/app/layout/app.menu.service.mjs +0 -24
  351. package/esm2022/src/app/layout/app.menuitem.component.mjs +0 -296
  352. package/esm2022/src/app/layout/app.menuprofile.component.mjs +0 -78
  353. package/esm2022/src/app/layout/app.rightmenu.component.mjs +0 -24
  354. package/esm2022/src/app/layout/app.sidebar.component.mjs +0 -92
  355. package/esm2022/src/app/layout/app.topbar.component.mjs +0 -346
  356. package/esm2022/src/app/layout/service/app.layout.service.mjs +0 -134
  357. package/esm2022/src/app/publico/pages/login/login.page.mjs +0 -129
  358. package/esm2022/src/app/publico/pages/not-found/not-found.page.mjs +0 -51
  359. package/esm2022/src/app/publico/pages/seleccion-rol/seleccion-rol.component.mjs +0 -167
  360. package/esm2022/src/app/publico/pages/timeout/timeout.page.mjs +0 -20
  361. package/esm2022/src/app/seguridad/constantes/ConstantesGenerales.mjs +0 -30
  362. package/esm2022/src/app/seguridad/constantes/ReporteEntradaConstantes.mjs +0 -19
  363. package/esm2022/src/app/seguridad/modelos/AplicacionModel.mjs +0 -8
  364. package/esm2022/src/app/seguridad/modelos/ArchivoPortalModel.mjs +0 -14
  365. package/esm2022/src/app/seguridad/modelos/AtributoPuntoModel.mjs +0 -9
  366. package/esm2022/src/app/seguridad/modelos/AtributoSSOportalModel.mjs +0 -17
  367. package/esm2022/src/app/seguridad/modelos/AuditoriaArchivoModel.mjs +0 -11
  368. package/esm2022/src/app/seguridad/modelos/CargueLineaModel.mjs +0 -13
  369. package/esm2022/src/app/seguridad/modelos/ColoresRGB.mjs +0 -18
  370. package/esm2022/src/app/seguridad/modelos/ColumnaFuenteModel.mjs +0 -9
  371. package/esm2022/src/app/seguridad/modelos/ColumnaWraperModel.mjs +0 -6
  372. package/esm2022/src/app/seguridad/modelos/ComplementoModel.mjs +0 -7
  373. package/esm2022/src/app/seguridad/modelos/ComponentePaginaModel.mjs +0 -21
  374. package/esm2022/src/app/seguridad/modelos/ConexionModel.mjs +0 -14
  375. package/esm2022/src/app/seguridad/modelos/ContenidoHtmlPortalModel.mjs +0 -21
  376. package/esm2022/src/app/seguridad/modelos/ControlFuncionModel.mjs +0 -8
  377. package/esm2022/src/app/seguridad/modelos/CorreoModel.mjs +0 -35
  378. package/esm2022/src/app/seguridad/modelos/CorreoWSModel.mjs +0 -37
  379. package/esm2022/src/app/seguridad/modelos/CruceRecursoPuntoModel.mjs +0 -14
  380. package/esm2022/src/app/seguridad/modelos/DatoInicioModel.mjs +0 -6
  381. package/esm2022/src/app/seguridad/modelos/EjecucionReporteDataModel.mjs +0 -14
  382. package/esm2022/src/app/seguridad/modelos/EmpresaModel.mjs +0 -25
  383. package/esm2022/src/app/seguridad/modelos/EmpresaSeguridadModel.mjs +0 -19
  384. package/esm2022/src/app/seguridad/modelos/EntradaComplementoModel.mjs +0 -7
  385. package/esm2022/src/app/seguridad/modelos/EtiquetaModel.mjs +0 -5
  386. package/esm2022/src/app/seguridad/modelos/Etiquetas.mjs +0 -12
  387. package/esm2022/src/app/seguridad/modelos/ForeingWraperModel.mjs +0 -6
  388. package/esm2022/src/app/seguridad/modelos/FuenteCampoAtributoModel.mjs +0 -16
  389. package/esm2022/src/app/seguridad/modelos/FuenteCampoModel.mjs +0 -17
  390. package/esm2022/src/app/seguridad/modelos/FuenteDato.mjs +0 -19
  391. package/esm2022/src/app/seguridad/modelos/FuenteEntradaModel.mjs +0 -9
  392. package/esm2022/src/app/seguridad/modelos/FuenteGeneralModel.mjs +0 -37
  393. package/esm2022/src/app/seguridad/modelos/FuenteLineaServicioModel.mjs +0 -16
  394. package/esm2022/src/app/seguridad/modelos/FuenteProcesoGeneralModel.mjs +0 -11
  395. package/esm2022/src/app/seguridad/modelos/FuenteServicioModel.mjs +0 -51
  396. package/esm2022/src/app/seguridad/modelos/FuncionalidadModel.mjs +0 -10
  397. package/esm2022/src/app/seguridad/modelos/GeneralModel.mjs +0 -15
  398. package/esm2022/src/app/seguridad/modelos/GrupoAccesoModel.mjs +0 -7
  399. package/esm2022/src/app/seguridad/modelos/GrupoControlFuncionModel.mjs +0 -10
  400. package/esm2022/src/app/seguridad/modelos/GrupoFuenteModel.mjs +0 -13
  401. package/esm2022/src/app/seguridad/modelos/GrupoPermisoModel.mjs +0 -14
  402. package/esm2022/src/app/seguridad/modelos/JoinFromModel.mjs +0 -5
  403. package/esm2022/src/app/seguridad/modelos/ListaServicioModel.mjs +0 -17
  404. package/esm2022/src/app/seguridad/modelos/LlaveForaneaModel.mjs +0 -7
  405. package/esm2022/src/app/seguridad/modelos/LoginModel.mjs +0 -15
  406. package/esm2022/src/app/seguridad/modelos/MensajeSistemaModel.mjs +0 -8
  407. package/esm2022/src/app/seguridad/modelos/MenuPortalModel.mjs +0 -5
  408. package/esm2022/src/app/seguridad/modelos/ModulosModel.mjs +0 -18
  409. package/esm2022/src/app/seguridad/modelos/ObjetoReporteModel.mjs +0 -6
  410. package/esm2022/src/app/seguridad/modelos/PaginaPortalModel.mjs +0 -20
  411. package/esm2022/src/app/seguridad/modelos/ParametroComponenteModel.mjs +0 -9
  412. package/esm2022/src/app/seguridad/modelos/ParametrosGeneralModel.mjs +0 -15
  413. package/esm2022/src/app/seguridad/modelos/PermisoFuncionModel.mjs +0 -9
  414. package/esm2022/src/app/seguridad/modelos/PermisocontenidoModel.mjs +0 -20
  415. package/esm2022/src/app/seguridad/modelos/PeticionModel.mjs +0 -16
  416. package/esm2022/src/app/seguridad/modelos/PreguntaSeguridadModel.mjs +0 -7
  417. package/esm2022/src/app/seguridad/modelos/PropiedadModel.mjs +0 -10
  418. package/esm2022/src/app/seguridad/modelos/PublicacionWebModel.mjs +0 -27
  419. package/esm2022/src/app/seguridad/modelos/PuntoProcesoModel.mjs +0 -7
  420. package/esm2022/src/app/seguridad/modelos/RecursoPunto.mjs +0 -13
  421. package/esm2022/src/app/seguridad/modelos/RecursoPuntoModel.mjs +0 -13
  422. package/esm2022/src/app/seguridad/modelos/ReporteBanda.mjs +0 -8
  423. package/esm2022/src/app/seguridad/modelos/ReporteColumna.mjs +0 -33
  424. package/esm2022/src/app/seguridad/modelos/ReporteColumnario.mjs +0 -69
  425. package/esm2022/src/app/seguridad/modelos/ReporteColumnarioMarcador.mjs +0 -24
  426. package/esm2022/src/app/seguridad/modelos/ReporteEntradaModel.mjs +0 -20
  427. package/esm2022/src/app/seguridad/modelos/ReporteFuenteModel.mjs +0 -124
  428. package/esm2022/src/app/seguridad/modelos/ReporteMarcador.mjs +0 -40
  429. package/esm2022/src/app/seguridad/modelos/ReporteSoporte.mjs +0 -10
  430. package/esm2022/src/app/seguridad/modelos/ReporteTabla.mjs +0 -13
  431. package/esm2022/src/app/seguridad/modelos/RespuestaModel.mjs +0 -9
  432. package/esm2022/src/app/seguridad/modelos/RolModel.mjs +0 -39
  433. package/esm2022/src/app/seguridad/modelos/ServidorCorreoModel.mjs +0 -28
  434. package/esm2022/src/app/seguridad/modelos/SistemaMensajeModel.mjs +0 -9
  435. package/esm2022/src/app/seguridad/modelos/SitioPortalModel.mjs +0 -25
  436. package/esm2022/src/app/seguridad/modelos/TablaFromModel.mjs +0 -5
  437. package/esm2022/src/app/seguridad/modelos/TareasModel.mjs +0 -54
  438. package/esm2022/src/app/seguridad/modelos/TextoModel.mjs +0 -9
  439. package/esm2022/src/app/seguridad/modelos/TipoEtiqueta.mjs +0 -8
  440. package/esm2022/src/app/seguridad/modelos/UnionModel.mjs +0 -12
  441. package/esm2022/src/app/seguridad/modelos/UsuarioModel.mjs +0 -53
  442. package/esm2022/src/app/seguridad/modelos/UsuariogrupoModel.mjs +0 -24
  443. package/esm2022/src/app/seguridad/modelos/aplicacion-propiedad-model.mjs +0 -15
  444. package/esm2022/src/app/seguridad/modelos/contenidoCorreoModel.mjs +0 -10
  445. package/esm2022/src/app/shared/componentes/input-helper-seleccion-plantilla/input-helper-seleccion-plantilla.component.mjs +0 -154
  446. package/esm2022/src/app/shared/servicios/cargar-archivos.service.mjs +0 -54
  447. package/esm2022/src/app/shared/servicios/cargar-mapas.service.mjs +0 -46
  448. package/esm2022/src/app/shared/servicios/encriptado-basico.service.mjs +0 -53
  449. package/esm2022/src/app/shared/servicios/general.service.mjs +0 -104
  450. package/esm2022/src/app/shared/servicios/parametros-navegacion.service.mjs +0 -21
  451. package/esm2022/src/app/webcommon/pages/cargue-plantilla/cargue-plantilla-general/cargue-plantilla-general.component.mjs +0 -378
  452. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/detalle-campo-reporte/detalle-campo-reporte.component.mjs +0 -187
  453. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/detalle-distribucion-reporte/detalle-distribucion-reporte.component.mjs +0 -85
  454. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/detalle-ejecucion-reporte/detalle-ejecucion-reporte.page.mjs +0 -347
  455. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/detalle-entrada-reporte/detalle-entrada-reporte.component.mjs +0 -206
  456. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/detalle-punto-proceso-reporte/detalle-punto-proceso-reporte.component.mjs +0 -329
  457. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/detalle-tipo-reporte/detalle-tipo-reporte.component.mjs +0 -1583
  458. package/esm2022/src/app/webcommon/pages/ejecucion-reporte/listado-ejecucion-reporte/listado-ejecucion-reporte.page.mjs +0 -105
  459. package/esm2022/src/app/webcommon/pages/ejecucion-reporte-externo/listado-ejecucion-reporte-externo/listado-ejecucion-reporte-externo.component.mjs +0 -114
  460. package/esm2022/src/app/webcommon/pages/fuente/importar-fuente-con/importar-fuente-con.component.mjs +0 -588
  461. package/esm2022/src/app/webcommon/pages/fuente/importar-fuente-general/importar-fuente-general.component.mjs +0 -177
  462. package/esm2022/src/app/webcommon/pages/fuente-reporte/fuente-reporte.component.mjs +0 -767
  463. package/esm2022/src/app/webcommon/pages/fuenteGeneral/fuente-general-servicio/fuente-general-servicio.component.mjs +0 -331
  464. package/esm2022/src/app/webcommon/pages/fuenteGeneral/listado-fuente-general/listado-fuente-general.page.mjs +0 -96
  465. package/esm2022/src/app/webcommon/pages/fuenteGeneral/mantenimiento-fuente-general/mantenimiento-fuente-general.page.mjs +0 -675
  466. package/esm2022/src/app/webcommon/pipes/dashboard.pipe.mjs +0 -86
  467. package/esm2022/src/app/webcommon/pipes/fuente.pipe.mjs +0 -201
  468. package/esm2022/src/app/webcommon/pipes/general.pipe.mjs +0 -916
  469. package/esm2022/src/app/webcommon/pipes/reporte-columnario.pipe.mjs +0 -115
  470. package/esm2022/src/app/webcommon/pipes/reporte-fuente.pipe.mjs +0 -301
  471. package/esm2022/src/app/webcommon/popups/popup-campos-fuente-general/popup-campos-fuente-general.component.mjs +0 -267
  472. package/esm2022/src/app/webcommon/popups/popup-convertir-plantillas/popup-convertir-plantillas.component.mjs +0 -128
  473. package/esm2022/src/app/webcommon/popups/popup-editor-formula/popup-editor-formula.component.mjs +0 -73
  474. package/esm2022/src/app/webcommon/popups/popup-punto-proceso/popup-punto-proceso.component.mjs +0 -293
  475. package/esm2022/src/app/webcommon/popups/popup-recurso/popup-recurso.component.mjs +0 -269
  476. package/esm2022/src/app/webcommon/popups/popup-reporte/popup-reporte.component.mjs +0 -555
  477. package/esm2022/src/app/webcommon/popups/popup-sentencia/popup-sentencia.component.mjs +0 -64
  478. package/esm2022/src/app/webcommon/popups/popup-tablas-fuente/popup-tablas-fuente.component.mjs +0 -162
  479. package/esm2022/src/app/webcommon/popups/punto-proceso-comp/punto-proceso-comp.component.mjs +0 -89
  480. package/esm2022/src/app/webcommon/services/carguelinea.service.mjs +0 -69
  481. package/esm2022/src/app/webcommon/services/dashboard.service.mjs +0 -253
  482. package/esm2022/src/app/webcommon/services/ejecucionreporte.service.mjs +0 -114
  483. package/esm2022/src/app/webcommon/services/fechaUtils.service.mjs +0 -97
  484. package/esm2022/src/app/webcommon/services/fuentegeneral.service.mjs +0 -68
  485. package/esm2022/src/app/webcommon/services/homologacion.service.mjs +0 -50
  486. package/esm2022/src/app/webcommon/services/plantillas.service.mjs +0 -129
  487. package/esm2022/src/app/webcommon/services/reportes.service.mjs +0 -95
  488. package/esm2022/src/app/webcommon/webcommon.module.mjs +0 -15
  489. package/esm2022/src/environments/environment.mjs +0 -24
  490. package/fesm2022/general-library-union.mjs +0 -16036
  491. package/fesm2022/general-library-union.mjs.map +0 -1
  492. package/index.d.ts +0 -5
  493. package/src/app/core/componentes/breadcrumb/breadcrumb.component.d.ts +0 -18
  494. package/src/app/core/componentes/carga-pantalla-completa/carga-pantalla-completa.component.d.ts +0 -14
  495. package/src/app/core/componentes/filtro-general/filtro-general.component.d.ts +0 -34
  496. package/src/app/core/componentes/footer/footer.component.d.ts +0 -9
  497. package/src/app/core/componentes/formulariodinamico/formulariodinamico.component.d.ts +0 -46
  498. package/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormulario.d.ts +0 -44
  499. package/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormularioCons.d.ts +0 -45
  500. package/src/app/core/componentes/formulariodinamico/objetosformulario/CampoFormularioNuevo.d.ts +0 -33
  501. package/src/app/core/componentes/formulariodinamico/objetosformulario/HashDirective.d.ts +0 -9
  502. package/src/app/core/componentes/inicio-component/inicio-component.component.d.ts +0 -26
  503. package/src/app/core/componentes/menu/menu.component.d.ts +0 -16
  504. package/src/app/core/componentes/tabla-general/tabla-general.component.d.ts +0 -32
  505. package/src/app/core/core.module.d.ts +0 -8
  506. package/src/app/core/errores/authentication.error.d.ts +0 -3
  507. package/src/app/core/modelos/error-response.model.d.ts +0 -9
  508. package/src/app/core/modelos/table-column.model.d.ts +0 -12
  509. package/src/app/core/modelos/user.model.d.ts +0 -6
  510. package/src/app/core/plantilla-general/plantilla-general.template.d.ts +0 -89
  511. package/src/app/core/servicios/auth.service.d.ts +0 -52
  512. package/src/app/core/servicios/cargar-control-funcionalidad.service.d.ts +0 -19
  513. package/src/app/core/servicios/data-exporter-table.utils.d.ts +0 -11
  514. package/src/app/core/servicios/error-interceptor.service.d.ts +0 -41
  515. package/src/app/core/servicios/guardias/auth.guard.d.ts +0 -17
  516. package/src/app/core/servicios/guardias/publico.guard.d.ts +0 -13
  517. package/src/app/core/servicios/interceptores/loading-interceptor.d.ts +0 -11
  518. package/src/app/core/servicios/interceptores/token-interceptor.service.d.ts +0 -26
  519. package/src/app/core/servicios/loading.service.d.ts +0 -22
  520. package/src/app/core/servicios/menu.service.d.ts +0 -35
  521. package/src/app/core/servicios/spinner-service.d.ts +0 -9
  522. package/src/app/core/servicios/storage.service.d.ts +0 -37
  523. package/src/app/core/servicios/template.service.d.ts +0 -20
  524. package/src/app/core/servicios/toast.service.d.ts +0 -48
  525. package/src/app/core/servicios/utils.service.d.ts +0 -43
  526. package/src/app/core/sharedlib.module.d.ts +0 -6
  527. package/src/app/core/utilidades/color.util.d.ts +0 -70
  528. package/src/app/layout/app.breadcrumb.component.d.ts +0 -28
  529. package/src/app/layout/app.footer.component.d.ts +0 -8
  530. package/src/app/layout/app.layout.component.d.ts +0 -39
  531. package/src/app/layout/app.layout.module.d.ts +0 -6
  532. package/src/app/layout/app.menu.component.d.ts +0 -19
  533. package/src/app/layout/app.menu.service.d.ts +0 -12
  534. package/src/app/layout/app.menuitem.component.d.ts +0 -41
  535. package/src/app/layout/app.menuprofile.component.d.ts +0 -18
  536. package/src/app/layout/app.rightmenu.component.d.ts +0 -10
  537. package/src/app/layout/app.sidebar.component.d.ts +0 -34
  538. package/src/app/layout/app.topbar.component.d.ts +0 -79
  539. package/src/app/layout/service/app.layout.service.d.ts +0 -57
  540. package/src/app/publico/pages/login/login.page.d.ts +0 -40
  541. package/src/app/publico/pages/not-found/not-found.page.d.ts +0 -17
  542. package/src/app/publico/pages/seleccion-rol/seleccion-rol.component.d.ts +0 -41
  543. package/src/app/publico/pages/timeout/timeout.page.d.ts +0 -10
  544. package/src/app/seguridad/constantes/ConstantesGenerales.d.ts +0 -25
  545. package/src/app/seguridad/constantes/ReporteEntradaConstantes.d.ts +0 -7
  546. package/src/app/seguridad/modelos/AplicacionModel.d.ts +0 -7
  547. package/src/app/seguridad/modelos/ArchivoPortalModel.d.ts +0 -13
  548. package/src/app/seguridad/modelos/AtributoPuntoModel.d.ts +0 -8
  549. package/src/app/seguridad/modelos/AtributoSSOportalModel.d.ts +0 -16
  550. package/src/app/seguridad/modelos/CargueLineaModel.d.ts +0 -12
  551. package/src/app/seguridad/modelos/ColoresRGB.d.ts +0 -17
  552. package/src/app/seguridad/modelos/ColumnaFuenteModel.d.ts +0 -8
  553. package/src/app/seguridad/modelos/ComplementoModel.d.ts +0 -6
  554. package/src/app/seguridad/modelos/ComponentePaginaModel.d.ts +0 -20
  555. package/src/app/seguridad/modelos/ConexionModel.d.ts +0 -13
  556. package/src/app/seguridad/modelos/ControlFuncionModel.d.ts +0 -7
  557. package/src/app/seguridad/modelos/CorreoModel.d.ts +0 -31
  558. package/src/app/seguridad/modelos/CorreoWSModel.d.ts +0 -33
  559. package/src/app/seguridad/modelos/CruceRecursoPuntoModel.d.ts +0 -13
  560. package/src/app/seguridad/modelos/EmpresaModel.d.ts +0 -23
  561. package/src/app/seguridad/modelos/EmpresaSeguridadModel.d.ts +0 -18
  562. package/src/app/seguridad/modelos/EtiquetaModel.d.ts +0 -4
  563. package/src/app/seguridad/modelos/Etiquetas.d.ts +0 -10
  564. package/src/app/seguridad/modelos/FuenteCampoAtributoModel.d.ts +0 -15
  565. package/src/app/seguridad/modelos/FuenteDato.d.ts +0 -18
  566. package/src/app/seguridad/modelos/FuenteEntradaModel.d.ts +0 -8
  567. package/src/app/seguridad/modelos/FuenteLineaServicioModel.d.ts +0 -16
  568. package/src/app/seguridad/modelos/FuenteProcesoGeneralModel.d.ts +0 -10
  569. package/src/app/seguridad/modelos/FuncionalidadModel.d.ts +0 -8
  570. package/src/app/seguridad/modelos/GeneralModel.d.ts +0 -8
  571. package/src/app/seguridad/modelos/GrupoControlFuncionModel.d.ts +0 -9
  572. package/src/app/seguridad/modelos/GrupoFuenteModel.d.ts +0 -13
  573. package/src/app/seguridad/modelos/GrupoPermisoModel.d.ts +0 -13
  574. package/src/app/seguridad/modelos/ListaServicioModel.d.ts +0 -16
  575. package/src/app/seguridad/modelos/LlaveForaneaModel.d.ts +0 -6
  576. package/src/app/seguridad/modelos/LoginModel.d.ts +0 -17
  577. package/src/app/seguridad/modelos/MensajeSistemaModel.d.ts +0 -7
  578. package/src/app/seguridad/modelos/ModulosModel.d.ts +0 -14
  579. package/src/app/seguridad/modelos/PaginaPortalModel.d.ts +0 -19
  580. package/src/app/seguridad/modelos/ParametroComponenteModel.d.ts +0 -8
  581. package/src/app/seguridad/modelos/ParametrosGeneralModel.d.ts +0 -8
  582. package/src/app/seguridad/modelos/PermisoFuncionModel.d.ts +0 -8
  583. package/src/app/seguridad/modelos/PermisocontenidoModel.d.ts +0 -18
  584. package/src/app/seguridad/modelos/PreguntaSeguridadModel.d.ts +0 -6
  585. package/src/app/seguridad/modelos/PropiedadModel.d.ts +0 -9
  586. package/src/app/seguridad/modelos/PublicacionWebModel.d.ts +0 -25
  587. package/src/app/seguridad/modelos/PuntoProcesoModel.d.ts +0 -6
  588. package/src/app/seguridad/modelos/RecursoPunto.d.ts +0 -12
  589. package/src/app/seguridad/modelos/RecursoPuntoModel.d.ts +0 -12
  590. package/src/app/seguridad/modelos/ReporteBanda.d.ts +0 -7
  591. package/src/app/seguridad/modelos/ReporteColumna.d.ts +0 -32
  592. package/src/app/seguridad/modelos/ReporteColumnario.d.ts +0 -68
  593. package/src/app/seguridad/modelos/ReporteColumnarioMarcador.d.ts +0 -23
  594. package/src/app/seguridad/modelos/ReporteMarcador.d.ts +0 -39
  595. package/src/app/seguridad/modelos/ReporteSoporte.d.ts +0 -9
  596. package/src/app/seguridad/modelos/ReporteTabla.d.ts +0 -12
  597. package/src/app/seguridad/modelos/RespuestaModel.d.ts +0 -8
  598. package/src/app/seguridad/modelos/ServidorCorreoModel.d.ts +0 -25
  599. package/src/app/seguridad/modelos/SistemaMensajeModel.d.ts +0 -8
  600. package/src/app/seguridad/modelos/SitioPortalModel.d.ts +0 -24
  601. package/src/app/seguridad/modelos/TablaFromModel.d.ts +0 -4
  602. package/src/app/seguridad/modelos/TareasModel.d.ts +0 -49
  603. package/src/app/seguridad/modelos/TextoModel.d.ts +0 -8
  604. package/src/app/seguridad/modelos/TipoEtiqueta.d.ts +0 -7
  605. package/src/app/seguridad/modelos/UnionModel.d.ts +0 -11
  606. package/src/app/seguridad/modelos/UsuariogrupoModel.d.ts +0 -22
  607. package/src/app/seguridad/modelos/aplicacion-propiedad-model.d.ts +0 -13
  608. package/src/app/seguridad/modelos/contenidoCorreoModel.d.ts +0 -9
  609. package/src/app/shared/componentes/input-helper-seleccion-plantilla/input-helper-seleccion-plantilla.component.d.ts +0 -47
  610. package/src/app/shared/servicios/cargar-archivos.service.d.ts +0 -15
  611. package/src/app/shared/servicios/cargar-mapas.service.d.ts +0 -18
  612. package/src/app/shared/servicios/encriptado-basico.service.d.ts +0 -10
  613. package/src/app/shared/servicios/general.service.d.ts +0 -24
  614. package/src/app/shared/servicios/parametros-navegacion.service.d.ts +0 -9
  615. package/src/app/webcommon/pages/cargue-plantilla/cargue-plantilla-general/cargue-plantilla-general.component.d.ts +0 -79
  616. package/src/app/webcommon/pages/ejecucion-reporte/detalle-campo-reporte/detalle-campo-reporte.component.d.ts +0 -79
  617. package/src/app/webcommon/pages/ejecucion-reporte/detalle-distribucion-reporte/detalle-distribucion-reporte.component.d.ts +0 -29
  618. package/src/app/webcommon/pages/ejecucion-reporte/detalle-ejecucion-reporte/detalle-ejecucion-reporte.page.d.ts +0 -69
  619. package/src/app/webcommon/pages/ejecucion-reporte/detalle-entrada-reporte/detalle-entrada-reporte.component.d.ts +0 -51
  620. package/src/app/webcommon/pages/ejecucion-reporte/detalle-punto-proceso-reporte/detalle-punto-proceso-reporte.component.d.ts +0 -77
  621. package/src/app/webcommon/pages/ejecucion-reporte/detalle-tipo-reporte/detalle-tipo-reporte.component.d.ts +0 -278
  622. package/src/app/webcommon/pages/ejecucion-reporte/listado-ejecucion-reporte/listado-ejecucion-reporte.page.d.ts +0 -42
  623. package/src/app/webcommon/pages/ejecucion-reporte-externo/listado-ejecucion-reporte-externo/listado-ejecucion-reporte-externo.component.d.ts +0 -35
  624. package/src/app/webcommon/pages/fuente/importar-fuente-con/importar-fuente-con.component.d.ts +0 -143
  625. package/src/app/webcommon/pages/fuente/importar-fuente-general/importar-fuente-general.component.d.ts +0 -49
  626. package/src/app/webcommon/pages/fuente-reporte/fuente-reporte.component.d.ts +0 -131
  627. package/src/app/webcommon/pages/fuenteGeneral/fuente-general-servicio/fuente-general-servicio.component.d.ts +0 -64
  628. package/src/app/webcommon/pages/fuenteGeneral/listado-fuente-general/listado-fuente-general.page.d.ts +0 -33
  629. package/src/app/webcommon/pages/fuenteGeneral/mantenimiento-fuente-general/mantenimiento-fuente-general.page.d.ts +0 -149
  630. package/src/app/webcommon/pipes/dashboard.pipe.d.ts +0 -48
  631. package/src/app/webcommon/pipes/fuente.pipe.d.ts +0 -136
  632. package/src/app/webcommon/pipes/general.pipe.d.ts +0 -646
  633. package/src/app/webcommon/pipes/reporte-columnario.pipe.d.ts +0 -59
  634. package/src/app/webcommon/pipes/reporte-fuente.pipe.d.ts +0 -193
  635. package/src/app/webcommon/popups/popup-campos-fuente-general/popup-campos-fuente-general.component.d.ts +0 -59
  636. package/src/app/webcommon/popups/popup-convertir-plantillas/popup-convertir-plantillas.component.d.ts +0 -27
  637. package/src/app/webcommon/popups/popup-editor-formula/popup-editor-formula.component.d.ts +0 -21
  638. package/src/app/webcommon/popups/popup-punto-proceso/popup-punto-proceso.component.d.ts +0 -64
  639. package/src/app/webcommon/popups/popup-recurso/popup-recurso.component.d.ts +0 -63
  640. package/src/app/webcommon/popups/popup-reporte/popup-reporte.component.d.ts +0 -105
  641. package/src/app/webcommon/popups/popup-sentencia/popup-sentencia.component.d.ts +0 -21
  642. package/src/app/webcommon/popups/popup-tablas-fuente/popup-tablas-fuente.component.d.ts +0 -42
  643. package/src/app/webcommon/popups/punto-proceso-comp/punto-proceso-comp.component.d.ts +0 -30
  644. package/src/app/webcommon/services/carguelinea.service.d.ts +0 -19
  645. package/src/app/webcommon/services/dashboard.service.d.ts +0 -27
  646. package/src/app/webcommon/services/ejecucionreporte.service.d.ts +0 -34
  647. package/src/app/webcommon/services/fechaUtils.service.d.ts +0 -30
  648. package/src/app/webcommon/services/fuentegeneral.service.d.ts +0 -24
  649. package/src/app/webcommon/services/homologacion.service.d.ts +0 -17
  650. package/src/app/webcommon/services/plantillas.service.d.ts +0 -26
  651. package/src/app/webcommon/services/reportes.service.d.ts +0 -35
  652. package/src/app/webcommon/webcommon.module.d.ts +0 -6
  653. package/src/environments/environment.d.ts +0 -7
@@ -1,73 +0,0 @@
1
- export const CampoFormularioCons = {
2
- TEXTO: 'T',
3
- COMBO: 'C',
4
- NUMERO: 'N',
5
- DECIMAL: 'D',
6
- FECHA: 'F',
7
- HORA: 'X',
8
- FECHAHORA: 'E',
9
- RADIO: 'R',
10
- POPUP: 'P',
11
- CHECK: 'H',
12
- LOGICO: 'L',
13
- TITULO: 'I',
14
- SUBTITULO: 'B',
15
- MENSAJE: 'M',
16
- TEXTOAREA: 'A',
17
- SECRET: 'S',
18
- VALORHORA: 'Z',
19
- AUTOCOMPLETAR: 'Y',
20
- AUTOCOMPLETAR_AREA: 'O',
21
- COMBOSQL: 'Q',
22
- COMBO_CONSULTA: 'Consulta',
23
- COMBO_ESTATICO: 'Estarico',
24
- GRUPO_FINAL: 'F',
25
- GRUPO_INICIAL: 'I',
26
- CAMPO_LECTURA: 'L',
27
- CAMPO_EDITABLE: 'E',
28
- CAMPO_NO_VISIBLE: 'N',
29
- TEXTO_DES: 'Texto',
30
- COMBO_DES: 'Lista',
31
- NUMERO_DES: 'Entero',
32
- DECIMAL_DES: 'Decimal',
33
- FECHA_DES: 'Fecha',
34
- RADIO_DES: 'Alternativa',
35
- CHECK_DES: 'Marca',
36
- LOGICO_DES: 'Logico',
37
- TITULO_DES: 'Titulo',
38
- SUBTITULO_DES: 'Subtitulo',
39
- MENSAJE_DES: 'Mensaje',
40
- TEXTOAREA_DES: 'Texto Area',
41
- SECRET_DES: 'Secret',
42
- COMBOSQL_DES: 'Lista Sql',
43
- MAPA_TIPO_CAMPOS: new Map()
44
- .set('T', 'Texto')
45
- .set('C', 'Combo')
46
- .set('N', 'Numero')
47
- .set('D', 'Decimal')
48
- .set('F', 'Fecha')
49
- .set('X', 'Hora')
50
- .set('R', 'Radio')
51
- .set('P', 'Popup')
52
- .set('H', 'Check')
53
- .set('L', 'Logico')
54
- .set('I', 'Titulo')
55
- .set('A', 'TextoArea')
56
- .set('S', 'Secreto')
57
- .set('Z', 'ValorHora'),
58
- MAPA_COMPONENTES_CARACTERISTICAS_ADI: new Map()
59
- .set('T', 'Texto')
60
- .set('C', 'Combo')
61
- .set('N', 'Numero')
62
- .set('D', 'Decimal')
63
- .set('F', 'Fecha')
64
- .set('X', 'Hora')
65
- .set('R', 'Radio')
66
- .set('H', 'Check')
67
- .set('L', 'Logico')
68
- .set('I', 'Titulo')
69
- .set('A', 'TextoArea')
70
- .set('S', 'Secreto')
71
- .set('Z', 'ValorHora'),
72
- };
73
- //# sourceMappingURL=data:application/json;base64,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
@@ -1,59 +0,0 @@
1
- export class CampoFormularioNuevoModel {
2
- tipo;
3
- descripcion;
4
- valorNuevo;
5
- objeto;
6
- longitud;
7
- campo;
8
- obligatorio;
9
- lista;
10
- listaRespuesta;
11
- popup;
12
- textoPopup;
13
- valorAntiguo;
14
- orden;
15
- editable;
16
- mensaje;
17
- mostrar;
18
- //formulario:IFormularioNuevo;
19
- decimales;
20
- ayuda;
21
- caracteristica;
22
- campoTabla;
23
- resaltado;
24
- campoReferencia;
25
- tituloCampo;
26
- popupComponent;
27
- propiedadesPopupComponent;
28
- listaPopups;
29
- //campos creados por primeng
30
- listaAutocompleteFiltrada;
31
- constructor(tipo, descripcion, longitud, decimales, campo, obligatorio, lista, popup, editable, orden, mostrar, caracteristica, objeto) {
32
- this.tipo = tipo;
33
- this.descripcion = descripcion;
34
- this.longitud = longitud;
35
- this.decimales = decimales;
36
- this.campo = campo;
37
- this.obligatorio = obligatorio;
38
- this.lista = lista;
39
- this.popup = popup;
40
- this.editable = editable;
41
- this.objeto = objeto;
42
- this.orden = orden;
43
- this.mostrar = mostrar;
44
- this.caracteristica = caracteristica;
45
- }
46
- filtrarAutocomplete(event) {
47
- let filtered = [];
48
- let query = event.query;
49
- for (let i = 0; i < this.lista.length; i++) {
50
- let objeto = this.lista[i];
51
- console.log(objeto);
52
- if (objeto.label.toLowerCase().indexOf(query.toLowerCase()) == 0) {
53
- filtered.push(objeto);
54
- }
55
- }
56
- this.listaAutocompleteFiltrada = filtered;
57
- }
58
- }
59
- //# sourceMappingURL=data:application/json;base64,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
@@ -1,21 +0,0 @@
1
- import { Input, Directive } from '@angular/core';
2
- import * as i0 from "@angular/core";
3
- export class HashDirective {
4
- vcRef;
5
- hash;
6
- constructor(vcRef) {
7
- this.vcRef = vcRef;
8
- }
9
- static ɵfac = i0.ɵɵngDeclareFactory({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: HashDirective, deps: [{ token: i0.ViewContainerRef }], target: i0.ɵɵFactoryTarget.Directive });
10
- static ɵdir = i0.ɵɵngDeclareDirective({ minVersion: "14.0.0", version: "18.0.4", type: HashDirective, isStandalone: true, selector: "[hash]", inputs: { hash: "hash" }, ngImport: i0 });
11
- }
12
- i0.ɵɵngDeclareClassMetadata({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: HashDirective, decorators: [{
13
- type: Directive,
14
- args: [{
15
- selector: '[hash]',
16
- standalone: true,
17
- }]
18
- }], ctorParameters: () => [{ type: i0.ViewContainerRef }], propDecorators: { hash: [{
19
- type: Input
20
- }] } });
21
- //# sourceMappingURL=data:application/json;base64,eyJ2ZXJzaW9uIjozLCJmaWxlIjoiSGFzaERpcmVjdGl2ZS5qcyIsInNvdXJjZVJvb3QiOiIiLCJzb3VyY2VzIjpbIi4uLy4uLy4uLy4uLy4uLy4uLy4uLy4uL3NyYy9hcHAvY29yZS9jb21wb25lbnRlcy9mb3JtdWxhcmlvZGluYW1pY28vb2JqZXRvc2Zvcm11bGFyaW8vSGFzaERpcmVjdGl2ZS50cyJdLCJuYW1lcyI6W10sIm1hcHBpbmdzIjoiQUFBQSxPQUFPLEVBQUcsS0FBSyxFQUFFLFNBQVMsRUFBb0IsTUFBTSxlQUFlLENBQUM7O0FBTWxFLE1BQU0sT0FBTyxhQUFhO0lBR0w7SUFGVixJQUFJLENBQVM7SUFFdEIsWUFBbUIsS0FBdUI7UUFBdkIsVUFBSyxHQUFMLEtBQUssQ0FBa0I7SUFBRyxDQUFDO3VHQUhuQyxhQUFhOzJGQUFiLGFBQWE7OzJGQUFiLGFBQWE7a0JBSjNCLFNBQVM7bUJBQUM7b0JBQ1AsUUFBUSxFQUFFLFFBQVE7b0JBQ2xCLFVBQVUsRUFBRSxJQUFJO2lCQUNqQjtxRkFFVSxJQUFJO3NCQUFaLEtBQUsiLCJzb3VyY2VzQ29udGVudCI6WyJpbXBvcnQgeyAgSW5wdXQsIERpcmVjdGl2ZSwgVmlld0NvbnRhaW5lclJlZiB9IGZyb20gJ0Bhbmd1bGFyL2NvcmUnO1xyXG5cclxuQERpcmVjdGl2ZSh7XHJcbiAgICBzZWxlY3RvcjogJ1toYXNoXScsXHJcbiAgICBzdGFuZGFsb25lOiB0cnVlLFxyXG4gIH0pXHJcbiAgZXhwb3J0IGNsYXNzIEhhc2hEaXJlY3RpdmUgIHtcclxuICAgIEBJbnB1dCgpIGhhc2g6IHN0cmluZztcclxuICBcclxuICAgIGNvbnN0cnVjdG9yKHB1YmxpYyB2Y1JlZjogVmlld0NvbnRhaW5lclJlZikge31cclxuICB9Il19
@@ -1,72 +0,0 @@
1
- import { Component } from '@angular/core';
2
- import { ModulosModel } from '../../../seguridad/modelos/ModulosModel';
3
- import { CommonModule } from '@angular/common';
4
- import { MessageService } from 'primeng/api';
5
- import { ButtonModule } from 'primeng/button';
6
- import { GeneralModel } from '../../../seguridad/modelos/GeneralModel';
7
- import * as i0 from "@angular/core";
8
- import * as i1 from "@angular/common/http";
9
- import * as i2 from "@angular/router";
10
- import * as i3 from "../../servicios/toast.service";
11
- import * as i4 from "../../servicios/auth.service";
12
- import * as i5 from "../../servicios/utils.service";
13
- import * as i6 from "../../servicios/storage.service";
14
- import * as i7 from "../../../shared/servicios/general.service";
15
- import * as i8 from "@angular/common";
16
- import * as i9 from "primeng/button";
17
- export class InicioComponentComponent {
18
- httpClient;
19
- activatedRoute;
20
- toast;
21
- authService;
22
- utilsService;
23
- storageService;
24
- generalService;
25
- modulo = new ModulosModel(null, null);
26
- constructor(httpClient, activatedRoute, toast, authService, utilsService, storageService, generalService) {
27
- this.httpClient = httpClient;
28
- this.activatedRoute = activatedRoute;
29
- this.toast = toast;
30
- this.authService = authService;
31
- this.utilsService = utilsService;
32
- this.storageService = storageService;
33
- this.generalService = generalService;
34
- }
35
- ngOnInit() {
36
- this.consultarModulo();
37
- }
38
- consultarModulo() {
39
- let objeto = {
40
- nombreDTO: 'ModuloDTO',
41
- nombreConsulta: null,
42
- seguridad: true,
43
- objeto: {
44
- llave: this.utilsService.rightpad(this.storageService.getItem('moduloSeleccionado'), 3, ' '),
45
- aplicacion: this.utilsService.rightpad(this.authService.getTokenKey('codigoAplicacion'), 10, ' ')
46
- },
47
- };
48
- console.log(objeto);
49
- this.activatedRoute.data.subscribe((v) => {
50
- this.httpClient
51
- .post(`${this.utilsService.obtenerUrlApi()}/requieretoken/general/queryForObject`, objeto)
52
- .subscribe((data) => {
53
- this.modulo = data;
54
- console.log(this.modulo);
55
- });
56
- });
57
- }
58
- async marcarModuloFavorito() {
59
- if (this.modulo && this.modulo.llave) {
60
- this.storageService.setItem('moduloFavorito', this.modulo?.llave);
61
- this.generalService.update(new GeneralModel('UsuarioDTO', 'Usuario.actualizarModuloFavorito', true, { login: this.authService.getTokenKey('usuario'), modulo: this.utilsService.rightpad(this.storageService.getItem('moduloSeleccionado'), 3, ' ') })).toPromise();
62
- this.toast.showSuccess('Se agrego ' + this.modulo?.descripcion + ' como favorito');
63
- }
64
- }
65
- static ɵfac = i0.ɵɵngDeclareFactory({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: InicioComponentComponent, deps: [{ token: i1.HttpClient }, { token: i2.ActivatedRoute }, { token: i3.ToastService }, { token: i4.AuthService }, { token: i5.UtilsService }, { token: i6.StorageService }, { token: i7.GeneralService }], target: i0.ɵɵFactoryTarget.Component });
66
- static ɵcmp = i0.ɵɵngDeclareComponent({ minVersion: "14.0.0", version: "18.0.4", type: InicioComponentComponent, isStandalone: true, selector: "ng-component", providers: [MessageService], ngImport: i0, template: "<div>\r\n <div class=\"Inputgroup p-4 mt-0 \">\r\n <div class=\"p-fluid p-formgrid grid align-items-center favorito\">\r\n <div class=\"Icono\">\r\n <button pButton pRipple type=\"button\" icon=\"glyphicons glyphicons-heart\" class=\"p-button-rounded p-button-help p-button-text mr-2 mb-2\"\r\n style=\"float: right;\" (click)=\"marcarModuloFavorito()\"></button>\r\n </div>\r\n <div class=\"field col-12 md:col-3 animacion\">\r\n <img class=\"FormaImagen\"\r\n [src]=\"'assets/modulos/' + (modulo.icono.replace('.png', '.webp'))\"\r\n alt=\"\" srcset=\"\">\r\n </div>\r\n <div class=\"field col-12 md:col-9\" >\r\n <div class=\"pl-3 animacion\" *ngIf=\"modulo\">\r\n\r\n <h2 >\r\n <span >{{'Bienvenido'}} a</span><br>\r\n {{modulo.descripcion}}\r\n </h2>\r\n <div class=\"col-12 md:col-9 separador\"></div>\r\n <div>\r\n <p>{{modulo.descripcionLarga}}</p>\r\n </div>\r\n </div>\r\n </div>\r\n </div>\r\n </div>\r\n <!-- <div class=\"Inputgroup-borde pt-2\"></div> -->\r\n</div>\r\n", styles: [":host ::ng-deep .animacion{position:relative;animation-name:example;animation-duration:2s}:host ::ng-deep .animacion p{white-space:pre-wrap;animation-duration:3s;animation-name:slidein;animation-iteration-count:infinite}:host ::ng-deep .animacion h2{text-transform:uppercase;margin-bottom:0;font-size:2rem}:host ::ng-deep .animacion h2 span{color:var(--color-400);margin-top:20px}:host ::ng-deep .separador{border-bottom:3px solid var(--color-100);width:100%;margin-bottom:15px}:host ::ng-deep .FormaImagen{width:100%;border-radius:50%;padding:5%;background:var(--color-100)}@keyframes example{0%{left:-200px;top:0}50%{left:0}to{left:0}}@media (max-width: 1300px){:host ::ng-deep .animacion h2{font-size:1.5rem}:host ::ng-deep .animacion p{font-size:.9rem}}@media only screen and (max-width: 1600px) and (min-width: 1360px){:host ::ng-deep .animacion p{font-size:.9rem}:host ::ng-deep .animacion h2{font-size:1.5rem}}\n"], dependencies: [{ kind: "ngmodule", type: CommonModule }, { kind: "directive", type: i8.NgIf, selector: "[ngIf]", inputs: ["ngIf", "ngIfThen", "ngIfElse"] }, { kind: "ngmodule", type: ButtonModule }, { kind: "directive", type: i9.ButtonDirective, selector: "[pButton]", inputs: ["iconPos", "loadingIcon", "label", "icon", "loading", "severity", "raised", "rounded", "text", "outlined", "size", "plain"] }] });
67
- }
68
- i0.ɵɵngDeclareClassMetadata({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: InicioComponentComponent, decorators: [{
69
- type: Component,
70
- args: [{ imports: [CommonModule, ButtonModule], standalone: true, providers: [MessageService], template: "<div>\r\n <div class=\"Inputgroup p-4 mt-0 \">\r\n <div class=\"p-fluid p-formgrid grid align-items-center favorito\">\r\n <div class=\"Icono\">\r\n <button pButton pRipple type=\"button\" icon=\"glyphicons glyphicons-heart\" class=\"p-button-rounded p-button-help p-button-text mr-2 mb-2\"\r\n style=\"float: right;\" (click)=\"marcarModuloFavorito()\"></button>\r\n </div>\r\n <div class=\"field col-12 md:col-3 animacion\">\r\n <img class=\"FormaImagen\"\r\n [src]=\"'assets/modulos/' + (modulo.icono.replace('.png', '.webp'))\"\r\n alt=\"\" srcset=\"\">\r\n </div>\r\n <div class=\"field col-12 md:col-9\" >\r\n <div class=\"pl-3 animacion\" *ngIf=\"modulo\">\r\n\r\n <h2 >\r\n <span >{{'Bienvenido'}} a</span><br>\r\n {{modulo.descripcion}}\r\n </h2>\r\n <div class=\"col-12 md:col-9 separador\"></div>\r\n <div>\r\n <p>{{modulo.descripcionLarga}}</p>\r\n </div>\r\n </div>\r\n </div>\r\n </div>\r\n </div>\r\n <!-- <div class=\"Inputgroup-borde pt-2\"></div> -->\r\n</div>\r\n", styles: [":host ::ng-deep .animacion{position:relative;animation-name:example;animation-duration:2s}:host ::ng-deep .animacion p{white-space:pre-wrap;animation-duration:3s;animation-name:slidein;animation-iteration-count:infinite}:host ::ng-deep .animacion h2{text-transform:uppercase;margin-bottom:0;font-size:2rem}:host ::ng-deep .animacion h2 span{color:var(--color-400);margin-top:20px}:host ::ng-deep .separador{border-bottom:3px solid var(--color-100);width:100%;margin-bottom:15px}:host ::ng-deep .FormaImagen{width:100%;border-radius:50%;padding:5%;background:var(--color-100)}@keyframes example{0%{left:-200px;top:0}50%{left:0}to{left:0}}@media (max-width: 1300px){:host ::ng-deep .animacion h2{font-size:1.5rem}:host ::ng-deep .animacion p{font-size:.9rem}}@media only screen and (max-width: 1600px) and (min-width: 1360px){:host ::ng-deep .animacion p{font-size:.9rem}:host ::ng-deep .animacion h2{font-size:1.5rem}}\n"] }]
71
- }], ctorParameters: () => [{ type: i1.HttpClient }, { type: i2.ActivatedRoute }, { type: i3.ToastService }, { type: i4.AuthService }, { type: i5.UtilsService }, { type: i6.StorageService }, { type: i7.GeneralService }] });
72
- //# sourceMappingURL=data:application/json;base64,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
@@ -1,37 +0,0 @@
1
- import { Component, Input } from '@angular/core';
2
- import { CommonModule } from '@angular/common';
3
- import { ScrollPanelModule } from 'primeng/scrollpanel';
4
- import { PanelMenuModule } from 'primeng/panelmenu';
5
- import * as i0 from "@angular/core";
6
- import * as i1 from "../../../core/servicios/menu.service";
7
- import * as i2 from "primeng/scrollpanel";
8
- import * as i3 from "primeng/panelmenu";
9
- import * as i4 from "primeng/tooltip";
10
- export class MenuComponent {
11
- menuService;
12
- subcription;
13
- model = [];
14
- modulo = '';
15
- constructor(menuService) {
16
- this.menuService = menuService;
17
- }
18
- ngOnInit() {
19
- this.subcription = this.menuService.menu.subscribe((menu) => {
20
- this.model = menu.items;
21
- });
22
- }
23
- ngOnDestroy() {
24
- if (this.subcription) {
25
- this.subcription.unsubscribe();
26
- }
27
- }
28
- static ɵfac = i0.ɵɵngDeclareFactory({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: MenuComponent, deps: [{ token: i1.MenuService }], target: i0.ɵɵFactoryTarget.Component });
29
- static ɵcmp = i0.ɵɵngDeclareComponent({ minVersion: "14.0.0", version: "18.0.4", type: MenuComponent, isStandalone: true, selector: "app-menu", inputs: { modulo: "modulo" }, ngImport: i0, template: "<div class=\"layout-inline-menu\">\r\n <a class=\"layout-inline-menu-action flex flex-row align-items-center p-4 menu-titulo\">\r\n <span>{{modulo}}\r\n <span pTooltip=\"{{'Tooltip text'}}\" tooltipPosition=\"right\">\r\n <i class=\"pi pi-question-circle\"></i>\r\n </span>\r\n </span>\r\n </a>\r\n</div>\r\n\r\n<p-scrollPanel>\r\n <p-panelMenu [model]=\"model\" [multiple]=\"false\"></p-panelMenu>\r\n</p-scrollPanel>\r\n", styles: ["@charset \"UTF-8\";.layout-menu-light .menu-wrapper .layout-menu-container .layout-inline-menu .layout-inline-menu-action i{color:#fff;background:var(--color-500);font-size:1.5rem;border-radius:50%}.layout-menu-light .menu-wrapper .layout-menu-container .layout-inline-menu .layout-inline-menu-action:hover{background-color:transparent}.menu-titulo span{font-size:1.5rem;font-weight:700;line-height:2rem;color:#0c1520;margin-right:.5rem}@media (max-width: 1420px){.menu-titulo span{font-size:1.4rem;line-height:1.5rem}}@media (max-width: 1300px){.menu-titulo span{font-size:1.1rem}}\n"], dependencies: [{ kind: "ngmodule", type: CommonModule }, { kind: "ngmodule", type: ScrollPanelModule }, { kind: "component", type: i2.ScrollPanel, selector: "p-scrollPanel", inputs: ["style", "styleClass", "step"] }, { kind: "ngmodule", type: PanelMenuModule }, { kind: "component", type: i3.PanelMenu, selector: "p-panelMenu", inputs: ["model", "style", "styleClass", "multiple", "transitionOptions", "id", "tabindex"] }, { kind: "directive", type: i4.Tooltip, selector: "[pTooltip]", inputs: ["tooltipPosition", "tooltipEvent", "appendTo", "positionStyle", "tooltipStyleClass", "tooltipZIndex", "escape", "showDelay", "hideDelay", "life", "positionTop", "positionLeft", "autoHide", "fitContent", "hideOnEscape", "pTooltip", "tooltipDisabled", "tooltipOptions"] }] });
30
- }
31
- i0.ɵɵngDeclareClassMetadata({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: MenuComponent, decorators: [{
32
- type: Component,
33
- args: [{ selector: 'app-menu', imports: [CommonModule, ScrollPanelModule, PanelMenuModule], standalone: true, template: "<div class=\"layout-inline-menu\">\r\n <a class=\"layout-inline-menu-action flex flex-row align-items-center p-4 menu-titulo\">\r\n <span>{{modulo}}\r\n <span pTooltip=\"{{'Tooltip text'}}\" tooltipPosition=\"right\">\r\n <i class=\"pi pi-question-circle\"></i>\r\n </span>\r\n </span>\r\n </a>\r\n</div>\r\n\r\n<p-scrollPanel>\r\n <p-panelMenu [model]=\"model\" [multiple]=\"false\"></p-panelMenu>\r\n</p-scrollPanel>\r\n", styles: ["@charset \"UTF-8\";.layout-menu-light .menu-wrapper .layout-menu-container .layout-inline-menu .layout-inline-menu-action i{color:#fff;background:var(--color-500);font-size:1.5rem;border-radius:50%}.layout-menu-light .menu-wrapper .layout-menu-container .layout-inline-menu .layout-inline-menu-action:hover{background-color:transparent}.menu-titulo span{font-size:1.5rem;font-weight:700;line-height:2rem;color:#0c1520;margin-right:.5rem}@media (max-width: 1420px){.menu-titulo span{font-size:1.4rem;line-height:1.5rem}}@media (max-width: 1300px){.menu-titulo span{font-size:1.1rem}}\n"] }]
34
- }], ctorParameters: () => [{ type: i1.MenuService }], propDecorators: { modulo: [{
35
- type: Input
36
- }] } });
37
- //# sourceMappingURL=data:application/json;base64,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
@@ -1,93 +0,0 @@
1
- import { Component, ContentChildren, EventEmitter, HostListener, Input, Output, ViewChild, } from '@angular/core';
2
- import { PrimeTemplate } from 'primeng/api';
3
- import { Table, TableModule } from 'primeng/table';
4
- import { CommonModule, DatePipe, TitleCasePipe } from '@angular/common';
5
- import { TranslateModule } from '@ngx-translate/core';
6
- import { PaginatorModule } from 'primeng/paginator';
7
- import * as i0 from "@angular/core";
8
- import * as i1 from "primeng/table";
9
- import * as i2 from "primeng/api";
10
- import * as i3 from "@ngx-translate/core";
11
- import * as i4 from "@angular/common";
12
- export class TablaGeneralComponent {
13
- dataKey;
14
- value = [];
15
- columns = [];
16
- rows;
17
- totalRecords;
18
- loading;
19
- ocultarFraccionador;
20
- onLoad = new EventEmitter();
21
- onRowSelect = new EventEmitter();
22
- templates;
23
- table;
24
- headerTemplate;
25
- bodyTemplate;
26
- rowExpansionTemplate;
27
- showFrozen = false;
28
- minTableWidth = 200;
29
- constructor() { }
30
- ngOnInit() {
31
- this.minTableWidth = this.columns.reduce((acc, column) => {
32
- return acc + column.width;
33
- }, 0);
34
- }
35
- ngAfterContentInit() {
36
- this.templates.forEach((item) => {
37
- switch (item.getType()) {
38
- case 'header':
39
- this.headerTemplate = item.template;
40
- break;
41
- case 'body':
42
- this.bodyTemplate = item.template;
43
- break;
44
- }
45
- });
46
- }
47
- ngAfterViewInit() {
48
- setTimeout(() => this._shouldFreezeTable());
49
- }
50
- onResize() {
51
- this._shouldFreezeTable();
52
- }
53
- _shouldFreezeTable() {
54
- this.showFrozen = this.table.tableViewChild.nativeElement.parentElement.clientWidth < this.minTableWidth;
55
- }
56
- parseDate(obj) {
57
- return new Date(obj);
58
- }
59
- static ɵfac = i0.ɵɵngDeclareFactory({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: TablaGeneralComponent, deps: [], target: i0.ɵɵFactoryTarget.Component });
60
- static ɵcmp = i0.ɵɵngDeclareComponent({ minVersion: "14.0.0", version: "18.0.4", type: TablaGeneralComponent, isStandalone: true, selector: "app-tabla-general", inputs: { dataKey: "dataKey", value: "value", columns: "columns", rows: "rows", totalRecords: "totalRecords", loading: "loading", ocultarFraccionador: "ocultarFraccionador" }, outputs: { onLoad: "onLoad", onRowSelect: "onRowSelect" }, host: { listeners: { "window:resize": "onResize()" } }, queries: [{ propertyName: "templates", predicate: PrimeTemplate }], viewQueries: [{ propertyName: "table", first: true, predicate: Table, descendants: true }], ngImport: i0, template: "<p-table\r\n [dataKey]=\"dataKey\"\r\n [value]=\"value\"\r\n [columns]=\"columns\"\r\n selectionMode=\"single\"\r\n [scrollable]=\"true\"\r\n responsiveLayout=\"scroll\"\r\n scrollDirection=\"horizontal\"\r\n styleClass=\"p-datatable-striped\"\r\n [ngClass]=\"{ 'show-frozen': showFrozen }\"\r\n [paginator]=\"totalRecords > rows\"\r\n [rows]=\"rows\"\r\n [totalRecords]=\"totalRecords\"\r\n [rowsPerPageOptions]=\"ocultarFraccionador ? null : [10, 20, 50]\"\r\n [showCurrentPageReport]=\"true\"\r\n currentPageReportTemplate=\"{first} al {last} de {totalRecords} registros\"\r\n [lazy]=\"true\" [loading]=\"loading\"\r\n (onLazyLoad)=\"onLoad.emit($event)\"\r\n (onRowSelect)=\"onRowSelect.emit($event.data)\"\r\n (onRowUnselect)=\"onRowSelect.emit($event.data)\"\r\n>\r\n <!-- Header Template-->\r\n <ng-template pTemplate=\"header\" let-columns>\r\n <ng-container *ngIf=\"headerTemplate; else header\">\r\n <ng-container *ngTemplateOutlet=\"headerTemplate; context: { $implicit: columns }\"></ng-container>\r\n </ng-container>\r\n <ng-template #header>\r\n <tr>\r\n <ng-container *ngFor=\"let col of columns\">\r\n <ng-container *ngIf=\"col.isFrozen; else headCell\">\r\n <th [style.font-weight]=\"500\" [style.width.px]=\"col.width || 200\" [style.flex]=\"'0 1 auto'\" pFrozenColumn>\r\n {{ col.header | titlecase }}\r\n </th>\r\n </ng-container>\r\n <ng-template #headCell>\r\n <th [style.width.px]=\"col.width || 200\" [style.flex]=\"(col.flexGrow || 1) + ' 1 auto'\">\r\n {{ col.header | titlecase }}\r\n </th>\r\n </ng-template>\r\n </ng-container>\r\n </tr>\r\n </ng-template>\r\n </ng-template>\r\n\r\n <!-- Body Template-->\r\n <ng-template\r\n pTemplate=\"body\"\r\n let-row\r\n let-rowIndex=\"rowIndex\"\r\n let-columns=\"columns\"\r\n let-expanded=\"expanded\"\r\n let-rowgroup=\"rowgroup\"\r\n let-rowspan=\"rowspan\"\r\n >\r\n <ng-container *ngIf=\"bodyTemplate; else body\">\r\n <ng-container\r\n *ngTemplateOutlet=\"\r\n bodyTemplate;\r\n context: { $implicit: row, rowIndex: rowIndex, columns: columns, expanded: expanded, rowgroup: rowgroup, rowspan: rowspan }\r\n \"\r\n ></ng-container>\r\n </ng-container>\r\n <ng-template #body>\r\n <tr [pSelectableRow]=\"row\">\r\n <ng-container *ngFor=\"let col of columns\">\r\n <ng-container *ngIf=\"col.isFrozen; else bodyCell\">\r\n <td [style.font-weight]=\"500\" [style.width.px]=\"col.width || 200\" [style.flex]=\"'0 1 auto'\" pFrozenColumn>\r\n <ng-container *ngIf=\"col.values; else plainData\">\r\n {{ col.values[row[col.field]] }}\r\n </ng-container>\r\n </td>\r\n </ng-container>\r\n <ng-template #bodyCell>\r\n <td [style.width.px]=\"col.width || 200\" [style.flex]=\"(col.flexGrow || 1) + ' 1 auto'\">\r\n <ng-container *ngIf=\"col.values; else plainData\">\r\n {{ col.values[row[col.field]] }}\r\n </ng-container>\r\n </td>\r\n </ng-template>\r\n <ng-template #plainData>{{col.formatDate ? (parseDate(row[col.field]) | date : ('formatofecha' | translate )) : row[col.field] }}</ng-template>\r\n </ng-container>\r\n </tr>\r\n </ng-template>\r\n </ng-template>\r\n <ng-template pTemplate=\"emptymessage\">\r\n <tr>\r\n <td colspan=\"100\" class=\"p-3 font-medium emptymessage\">{{'mensajetablavacia' | translate}}</td>\r\n </tr>\r\n </ng-template>\r\n</p-table>\r\n", styles: [""], dependencies: [{ kind: "ngmodule", type: TableModule }, { kind: "component", type: i1.Table, selector: "p-table", inputs: ["frozenColumns", "frozenValue", "style", "styleClass", "tableStyle", "tableStyleClass", "paginator", "pageLinks", "rowsPerPageOptions", "alwaysShowPaginator", "paginatorPosition", "paginatorStyleClass", "paginatorDropdownAppendTo", "paginatorDropdownScrollHeight", "currentPageReportTemplate", "showCurrentPageReport", "showJumpToPageDropdown", "showJumpToPageInput", "showFirstLastIcon", "showPageLinks", "defaultSortOrder", "sortMode", "resetPageOnSort", "selectionMode", "selectionPageOnly", "contextMenuSelection", "contextMenuSelectionMode", "dataKey", "metaKeySelection", "rowSelectable", "rowTrackBy", "lazy", "lazyLoadOnInit", "compareSelectionBy", "csvSeparator", "exportFilename", "filters", "globalFilterFields", "filterDelay", "filterLocale", "expandedRowKeys", "editingRowKeys", "rowExpandMode", "scrollable", "scrollDirection", "rowGroupMode", "scrollHeight", "virtualScroll", "virtualScrollItemSize", "virtualScrollOptions", "virtualScrollDelay", "frozenWidth", "responsive", "contextMenu", "resizableColumns", "columnResizeMode", "reorderableColumns", "loading", "loadingIcon", "showLoader", "rowHover", "customSort", "showInitialSortBadge", "autoLayout", "exportFunction", "exportHeader", "stateKey", "stateStorage", "editMode", "groupRowsBy", "groupRowsByOrder", "responsiveLayout", "breakpoint", "paginatorLocale", "value", "columns", "first", "rows", "totalRecords", "sortField", "sortOrder", "multiSortMeta", "selection", "selectAll", "virtualRowHeight"], outputs: ["contextMenuSelectionChange", "selectAllChange", "selectionChange", "onRowSelect", "onRowUnselect", "onPage", "onSort", "onFilter", "onLazyLoad", "onRowExpand", "onRowCollapse", "onContextMenuSelect", "onColResize", "onColReorder", "onRowReorder", "onEditInit", "onEditComplete", "onEditCancel", "onHeaderCheckboxToggle", "sortFunction", "firstChange", "rowsChange", "onStateSave", "onStateRestore"] }, { kind: "directive", type: i2.PrimeTemplate, selector: "[pTemplate]", inputs: ["type", "pTemplate"] }, { kind: "directive", type: i1.FrozenColumn, selector: "[pFrozenColumn]", inputs: ["frozen", "alignFrozen"] }, { kind: "directive", type: i1.SelectableRow, selector: "[pSelectableRow]", inputs: ["pSelectableRow", "pSelectableRowIndex", "pSelectableRowDisabled"] }, { kind: "pipe", type: TitleCasePipe, name: "titlecase" }, { kind: "pipe", type: DatePipe, name: "date" }, { kind: "ngmodule", type: TranslateModule }, { kind: "pipe", type: i3.TranslatePipe, name: "translate" }, { kind: "ngmodule", type: CommonModule }, { kind: "directive", type: i4.NgClass, selector: "[ngClass]", inputs: ["class", "ngClass"] }, { kind: "directive", type: i4.NgForOf, selector: "[ngFor][ngForOf]", inputs: ["ngForOf", "ngForTrackBy", "ngForTemplate"] }, { kind: "directive", type: i4.NgIf, selector: "[ngIf]", inputs: ["ngIf", "ngIfThen", "ngIfElse"] }, { kind: "directive", type: i4.NgTemplateOutlet, selector: "[ngTemplateOutlet]", inputs: ["ngTemplateOutletContext", "ngTemplateOutlet", "ngTemplateOutletInjector"] }, { kind: "ngmodule", type: PaginatorModule }] });
61
- }
62
- i0.ɵɵngDeclareClassMetadata({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: TablaGeneralComponent, decorators: [{
63
- type: Component,
64
- args: [{ selector: 'app-tabla-general', imports: [TableModule, TitleCasePipe, DatePipe, TranslateModule, CommonModule, PaginatorModule], standalone: true, template: "<p-table\r\n [dataKey]=\"dataKey\"\r\n [value]=\"value\"\r\n [columns]=\"columns\"\r\n selectionMode=\"single\"\r\n [scrollable]=\"true\"\r\n responsiveLayout=\"scroll\"\r\n scrollDirection=\"horizontal\"\r\n styleClass=\"p-datatable-striped\"\r\n [ngClass]=\"{ 'show-frozen': showFrozen }\"\r\n [paginator]=\"totalRecords > rows\"\r\n [rows]=\"rows\"\r\n [totalRecords]=\"totalRecords\"\r\n [rowsPerPageOptions]=\"ocultarFraccionador ? null : [10, 20, 50]\"\r\n [showCurrentPageReport]=\"true\"\r\n currentPageReportTemplate=\"{first} al {last} de {totalRecords} registros\"\r\n [lazy]=\"true\" [loading]=\"loading\"\r\n (onLazyLoad)=\"onLoad.emit($event)\"\r\n (onRowSelect)=\"onRowSelect.emit($event.data)\"\r\n (onRowUnselect)=\"onRowSelect.emit($event.data)\"\r\n>\r\n <!-- Header Template-->\r\n <ng-template pTemplate=\"header\" let-columns>\r\n <ng-container *ngIf=\"headerTemplate; else header\">\r\n <ng-container *ngTemplateOutlet=\"headerTemplate; context: { $implicit: columns }\"></ng-container>\r\n </ng-container>\r\n <ng-template #header>\r\n <tr>\r\n <ng-container *ngFor=\"let col of columns\">\r\n <ng-container *ngIf=\"col.isFrozen; else headCell\">\r\n <th [style.font-weight]=\"500\" [style.width.px]=\"col.width || 200\" [style.flex]=\"'0 1 auto'\" pFrozenColumn>\r\n {{ col.header | titlecase }}\r\n </th>\r\n </ng-container>\r\n <ng-template #headCell>\r\n <th [style.width.px]=\"col.width || 200\" [style.flex]=\"(col.flexGrow || 1) + ' 1 auto'\">\r\n {{ col.header | titlecase }}\r\n </th>\r\n </ng-template>\r\n </ng-container>\r\n </tr>\r\n </ng-template>\r\n </ng-template>\r\n\r\n <!-- Body Template-->\r\n <ng-template\r\n pTemplate=\"body\"\r\n let-row\r\n let-rowIndex=\"rowIndex\"\r\n let-columns=\"columns\"\r\n let-expanded=\"expanded\"\r\n let-rowgroup=\"rowgroup\"\r\n let-rowspan=\"rowspan\"\r\n >\r\n <ng-container *ngIf=\"bodyTemplate; else body\">\r\n <ng-container\r\n *ngTemplateOutlet=\"\r\n bodyTemplate;\r\n context: { $implicit: row, rowIndex: rowIndex, columns: columns, expanded: expanded, rowgroup: rowgroup, rowspan: rowspan }\r\n \"\r\n ></ng-container>\r\n </ng-container>\r\n <ng-template #body>\r\n <tr [pSelectableRow]=\"row\">\r\n <ng-container *ngFor=\"let col of columns\">\r\n <ng-container *ngIf=\"col.isFrozen; else bodyCell\">\r\n <td [style.font-weight]=\"500\" [style.width.px]=\"col.width || 200\" [style.flex]=\"'0 1 auto'\" pFrozenColumn>\r\n <ng-container *ngIf=\"col.values; else plainData\">\r\n {{ col.values[row[col.field]] }}\r\n </ng-container>\r\n </td>\r\n </ng-container>\r\n <ng-template #bodyCell>\r\n <td [style.width.px]=\"col.width || 200\" [style.flex]=\"(col.flexGrow || 1) + ' 1 auto'\">\r\n <ng-container *ngIf=\"col.values; else plainData\">\r\n {{ col.values[row[col.field]] }}\r\n </ng-container>\r\n </td>\r\n </ng-template>\r\n <ng-template #plainData>{{col.formatDate ? (parseDate(row[col.field]) | date : ('formatofecha' | translate )) : row[col.field] }}</ng-template>\r\n </ng-container>\r\n </tr>\r\n </ng-template>\r\n </ng-template>\r\n <ng-template pTemplate=\"emptymessage\">\r\n <tr>\r\n <td colspan=\"100\" class=\"p-3 font-medium emptymessage\">{{'mensajetablavacia' | translate}}</td>\r\n </tr>\r\n </ng-template>\r\n</p-table>\r\n" }]
65
- }], ctorParameters: () => [], propDecorators: { dataKey: [{
66
- type: Input
67
- }], value: [{
68
- type: Input
69
- }], columns: [{
70
- type: Input
71
- }], rows: [{
72
- type: Input
73
- }], totalRecords: [{
74
- type: Input
75
- }], loading: [{
76
- type: Input
77
- }], ocultarFraccionador: [{
78
- type: Input
79
- }], onLoad: [{
80
- type: Output
81
- }], onRowSelect: [{
82
- type: Output
83
- }], templates: [{
84
- type: ContentChildren,
85
- args: [PrimeTemplate]
86
- }], table: [{
87
- type: ViewChild,
88
- args: [Table]
89
- }], onResize: [{
90
- type: HostListener,
91
- args: ['window:resize']
92
- }] } });
93
- //# sourceMappingURL=data:application/json;base64,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
@@ -1,23 +0,0 @@
1
- import { NgModule } from '@angular/core';
2
- import * as i0 from "@angular/core";
3
- export class CoreModule {
4
- static forRoot() {
5
- return {
6
- ngModule: CoreModule,
7
- providers: [],
8
- };
9
- }
10
- static ɵfac = i0.ɵɵngDeclareFactory({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: CoreModule, deps: [], target: i0.ɵɵFactoryTarget.NgModule });
11
- static ɵmod = i0.ɵɵngDeclareNgModule({ minVersion: "14.0.0", version: "18.0.4", ngImport: i0, type: CoreModule });
12
- static ɵinj = i0.ɵɵngDeclareInjector({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: CoreModule });
13
- }
14
- i0.ɵɵngDeclareClassMetadata({ minVersion: "12.0.0", version: "18.0.4", ngImport: i0, type: CoreModule, decorators: [{
15
- type: NgModule,
16
- args: [{
17
- declarations: [],
18
- imports: [],
19
- providers: [],
20
- exports: [],
21
- }]
22
- }] });
23
- //# sourceMappingURL=data:application/json;base64,eyJ2ZXJzaW9uIjozLCJmaWxlIjoiY29yZS5tb2R1bGUuanMiLCJzb3VyY2VSb290IjoiIiwic291cmNlcyI6WyIuLi8uLi8uLi8uLi8uLi9zcmMvYXBwL2NvcmUvY29yZS5tb2R1bGUudHMiXSwibmFtZXMiOltdLCJtYXBwaW5ncyI6IkFBQUEsT0FBTyxFQUF1QixRQUFRLEVBQUUsTUFBTSxlQUFlLENBQUM7O0FBYTlELE1BQU0sT0FBTyxVQUFVO0lBQ2QsTUFBTSxDQUFDLE9BQU87UUFDbkIsT0FBTztZQUNMLFFBQVEsRUFBRSxVQUFVO1lBQ3BCLFNBQVMsRUFBRSxFQUNWO1NBQ0YsQ0FBQztJQUNKLENBQUM7dUdBUFUsVUFBVTt3R0FBVixVQUFVO3dHQUFWLFVBQVU7OzJGQUFWLFVBQVU7a0JBVnRCLFFBQVE7bUJBQUM7b0JBQ1IsWUFBWSxFQUFFLEVBQ2I7b0JBQ0QsT0FBTyxFQUFFLEVBQ1I7b0JBQ0QsU0FBUyxFQUFFLEVBQ1Y7b0JBQ0QsT0FBTyxFQUFFLEVBQ1I7aUJBQ0YiLCJzb3VyY2VzQ29udGVudCI6WyJpbXBvcnQgeyBNb2R1bGVXaXRoUHJvdmlkZXJzLCBOZ01vZHVsZSB9IGZyb20gJ0Bhbmd1bGFyL2NvcmUnO1xyXG4gXHJcblxyXG5ATmdNb2R1bGUoe1xyXG4gIGRlY2xhcmF0aW9uczogW1xyXG4gIF0sXHJcbiAgaW1wb3J0czogW1xyXG4gIF0sXHJcbiAgcHJvdmlkZXJzOiBbXHJcbiAgXSxcclxuICBleHBvcnRzOiBbXHJcbiAgXSxcclxufSlcclxuZXhwb3J0IGNsYXNzIENvcmVNb2R1bGUge1xyXG4gIHB1YmxpYyBzdGF0aWMgZm9yUm9vdCgpOiBNb2R1bGVXaXRoUHJvdmlkZXJzPGFueT4ge1xyXG4gICAgcmV0dXJuIHtcclxuICAgICAgbmdNb2R1bGU6IENvcmVNb2R1bGUsXHJcbiAgICAgIHByb3ZpZGVyczogW1xyXG4gICAgICBdLFxyXG4gICAgfTtcclxuICB9XHJcbn1cclxuIl19
@@ -1,7 +0,0 @@
1
- export class AuthenticationError extends Error {
2
- constructor(message) {
3
- super(message);
4
- this.name = this.constructor.name;
5
- }
6
- }
7
- //# sourceMappingURL=data:application/json;base64,eyJ2ZXJzaW9uIjozLCJmaWxlIjoiYXV0aGVudGljYXRpb24uZXJyb3IuanMiLCJzb3VyY2VSb290IjoiIiwic291cmNlcyI6WyIuLi8uLi8uLi8uLi8uLi8uLi9zcmMvYXBwL2NvcmUvZXJyb3Jlcy9hdXRoZW50aWNhdGlvbi5lcnJvci50cyJdLCJuYW1lcyI6W10sIm1hcHBpbmdzIjoiQUFBQSxNQUFNLE9BQU8sbUJBQW9CLFNBQVEsS0FBSztJQUM1QyxZQUFZLE9BQU87UUFDakIsS0FBSyxDQUFDLE9BQU8sQ0FBQyxDQUFDO1FBQ2YsSUFBSSxDQUFDLElBQUksR0FBRyxJQUFJLENBQUMsV0FBVyxDQUFDLElBQUksQ0FBQztJQUNwQyxDQUFDO0NBQ0YiLCJzb3VyY2VzQ29udGVudCI6WyJleHBvcnQgY2xhc3MgQXV0aGVudGljYXRpb25FcnJvciBleHRlbmRzIEVycm9yIHtcclxuICBjb25zdHJ1Y3RvcihtZXNzYWdlKSB7XHJcbiAgICBzdXBlcihtZXNzYWdlKTtcclxuICAgIHRoaXMubmFtZSA9IHRoaXMuY29uc3RydWN0b3IubmFtZTtcclxuICB9XHJcbn1cclxuIl19
@@ -1,2 +0,0 @@
1
- export {};
2
- //# sourceMappingURL=data:application/json;base64,eyJ2ZXJzaW9uIjozLCJmaWxlIjoiZXJyb3ItcmVzcG9uc2UubW9kZWwuanMiLCJzb3VyY2VSb290IjoiIiwic291cmNlcyI6WyIuLi8uLi8uLi8uLi8uLi8uLi9zcmMvYXBwL2NvcmUvbW9kZWxvcy9lcnJvci1yZXNwb25zZS5tb2RlbC50cyJdLCJuYW1lcyI6W10sIm1hcHBpbmdzIjoiIiwic291cmNlc0NvbnRlbnQiOlsiZXhwb3J0IGludGVyZmFjZSBFcnJvclJlc3BvbnNlTW9kZWwge1xyXG4gIG1lbnNhamU6IHN0cmluZztcclxuICBjb2RpZ286IHN0cmluZztcclxuICB0aXBvOiBzdHJpbmc7XHJcbiAgZXJyb3JDb2RlOiBzdHJpbmc7XHJcbiAgY2F1c2E6IHN0cmluZztcclxuICB0cmF6YTogc3RyaW5nO1xyXG4gIGFjY2lvbjogc3RyaW5nO1xyXG59XHJcbiJdfQ==
@@ -1,2 +0,0 @@
1
- export {};
2
- //# sourceMappingURL=data:application/json;base64,eyJ2ZXJzaW9uIjozLCJmaWxlIjoidGFibGUtY29sdW1uLm1vZGVsLmpzIiwic291cmNlUm9vdCI6IiIsInNvdXJjZXMiOlsiLi4vLi4vLi4vLi4vLi4vLi4vc3JjL2FwcC9jb3JlL21vZGVsb3MvdGFibGUtY29sdW1uLm1vZGVsLnRzIl0sIm5hbWVzIjpbXSwibWFwcGluZ3MiOiIiLCJzb3VyY2VzQ29udGVudCI6WyJleHBvcnQgaW50ZXJmYWNlIFRhYmxlQ29sdW1uIHtcclxuICBmaWVsZDogc3RyaW5nO1xyXG4gIGhlYWRlcjogc3RyaW5nO1xyXG4gIGlzRnJvemVuPzogYm9vbGVhbjtcclxuICB3aWR0aD86IG51bWJlcjtcclxuICBmbGV4R3Jvdz86IG51bWJlcjtcclxuICBjYW5PcmRlcj86IGJvb2xlYW47XHJcbiAgdmFsdWVzPzogeyBba2V5OiBzdHJpbmddOiBzdHJpbmcgfTtcclxuICBmb3JtYXREYXRlPzogYm9vbGVhbjtcclxufVxyXG4iXX0=
@@ -1,7 +0,0 @@
1
- export class UserLoginCredentials {
2
- login;
3
- clave;
4
- aplicacion;
5
- base;
6
- }
7
- //# sourceMappingURL=data:application/json;base64,eyJ2ZXJzaW9uIjozLCJmaWxlIjoidXNlci5tb2RlbC5qcyIsInNvdXJjZVJvb3QiOiIiLCJzb3VyY2VzIjpbIi4uLy4uLy4uLy4uLy4uLy4uL3NyYy9hcHAvY29yZS9tb2RlbG9zL3VzZXIubW9kZWwudHMiXSwibmFtZXMiOltdLCJtYXBwaW5ncyI6IkFBQUEsTUFBTSxPQUFPLG9CQUFvQjtJQUMvQixLQUFLLENBQVM7SUFDZCxLQUFLLENBQVM7SUFDZCxVQUFVLENBQVM7SUFDbkIsSUFBSSxDQUFTO0NBQ2QiLCJzb3VyY2VzQ29udGVudCI6WyJleHBvcnQgY2xhc3MgVXNlckxvZ2luQ3JlZGVudGlhbHMge1xyXG4gIGxvZ2luOiBzdHJpbmc7XHJcbiAgY2xhdmU6IHN0cmluZztcclxuICBhcGxpY2FjaW9uOiBzdHJpbmc7XHJcbiAgYmFzZTogc3RyaW5nO1xyXG59XHJcbiJdfQ==