@univerjs/uniscript 0.3.0 → 0.4.0-alpha.1

Sign up to get free protection for your applications and to get access to all the features.
Files changed (180) hide show
  1. package/lib/abap-BZS-1p12.js +6 -0
  2. package/lib/abap-D8H3nII2.mjs +1404 -0
  3. package/lib/apex-B2xdRF0k.js +6 -0
  4. package/lib/apex-BVHYDV51.mjs +334 -0
  5. package/lib/azcli-BwJ4gbR1.mjs +74 -0
  6. package/lib/azcli-CKk2q0zF.js +6 -0
  7. package/lib/bat-BDmMqWXx.mjs +106 -0
  8. package/lib/bat-Ehz5Z_mk.js +6 -0
  9. package/lib/bicep-B5L2IbSj.mjs +110 -0
  10. package/lib/bicep-WbLMPUMj.js +7 -0
  11. package/lib/cameligo-B_ldnAvM.js +6 -0
  12. package/lib/cameligo-CmyOPZUd.mjs +180 -0
  13. package/lib/cjs/index.js +1 -9
  14. package/lib/clojure-Dg-qgg-1.js +6 -0
  15. package/lib/clojure-iSXHsTlR.mjs +767 -0
  16. package/lib/coffee-BCg0pb49.js +6 -0
  17. package/lib/coffee-BUCk2FHC.mjs +238 -0
  18. package/lib/cpp-CJWZ7Zo7.js +6 -0
  19. package/lib/cpp-MUyeqRt5.mjs +395 -0
  20. package/lib/csharp-B9vyMfVU.mjs +332 -0
  21. package/lib/csharp-BZypj8XG.js +6 -0
  22. package/lib/csp-BfXxGnNN.js +6 -0
  23. package/lib/csp-CbylPXe5.mjs +59 -0
  24. package/lib/css-BPDnvT2s.js +8 -0
  25. package/lib/css-BQSMT8k7.mjs +193 -0
  26. package/lib/cssMode-B_of5tVd.js +9 -0
  27. package/lib/cssMode-BuX-eUHc.mjs +1604 -0
  28. package/lib/cypher-3bNWMNCJ.js +6 -0
  29. package/lib/cypher-D7IWy_82.mjs +269 -0
  30. package/lib/dart-BCVbUKaH.mjs +287 -0
  31. package/lib/dart-C9Glm5m-.js +6 -0
  32. package/lib/dockerfile-CjnlcV5o.js +6 -0
  33. package/lib/dockerfile-D2-hSnDX.mjs +136 -0
  34. package/lib/ecl-DRJlPmOb.mjs +462 -0
  35. package/lib/ecl-UyoSfv7F.js +6 -0
  36. package/lib/elixir-Bol0p0Ia.js +6 -0
  37. package/lib/elixir-D8NBXKCb.mjs +575 -0
  38. package/lib/es/index.js +5 -262
  39. package/lib/flow9-Be1OUdJl.js +6 -0
  40. package/lib/flow9-Dp7h8rKW.mjs +148 -0
  41. package/lib/freemarker2-D8-fH4uC.mjs +1001 -0
  42. package/lib/freemarker2-S5ay_GEf.js +8 -0
  43. package/lib/fsharp-CJxdVP7r.mjs +223 -0
  44. package/lib/fsharp-CKIYWNYJ.js +6 -0
  45. package/lib/go-DWj6zslQ.js +6 -0
  46. package/lib/go-IPaMO3Uz.mjs +224 -0
  47. package/lib/graphql-BzJpwfxN.js +6 -0
  48. package/lib/graphql-CMoDK5uf.mjs +157 -0
  49. package/lib/handlebars-CEMNnGaU.mjs +427 -0
  50. package/lib/handlebars-CM3PRDRO.js +6 -0
  51. package/lib/hcl-BCY6T0iq.js +6 -0
  52. package/lib/hcl-BTAYK4pT.mjs +189 -0
  53. package/lib/html-BSUn7oJR.mjs +316 -0
  54. package/lib/html-Df-Osxjs.js +6 -0
  55. package/lib/htmlMode-Bj4CfAzA.js +9 -0
  56. package/lib/htmlMode-xJPwX0cD.mjs +1615 -0
  57. package/lib/index-D6nY5NdF.mjs +116821 -0
  58. package/lib/index-rYV4VmC2.js +1163 -0
  59. package/lib/index.css +1 -1
  60. package/lib/ini-CC3_THb4.js +6 -0
  61. package/lib/ini-CiaphB6F.mjs +77 -0
  62. package/lib/java-CwRV8Dsg.js +6 -0
  63. package/lib/java-DxksziCw.mjs +238 -0
  64. package/lib/javascript-D1eKEotE.js +6 -0
  65. package/lib/javascript-c8D1_K05.mjs +76 -0
  66. package/lib/jsonMode-8-L3-E9k.mjs +2042 -0
  67. package/lib/jsonMode-BdBVsN9_.js +15 -0
  68. package/lib/julia-7Z1jwT4W.js +6 -0
  69. package/lib/julia-TTty8och.mjs +517 -0
  70. package/lib/kotlin-BAXgl2QX.js +6 -0
  71. package/lib/kotlin-Dzand-bM.mjs +259 -0
  72. package/lib/less-CSG9Zdoy.js +7 -0
  73. package/lib/less-CWDFQI_i.mjs +168 -0
  74. package/lib/lexon-BiTtMD9F.js +6 -0
  75. package/lib/lexon-bZpjZioh.mjs +163 -0
  76. package/lib/liquid-BmlJOXgY.js +6 -0
  77. package/lib/liquid-Bs7DM5cg.mjs +248 -0
  78. package/lib/locale/fa-IR.json +17 -0
  79. package/lib/lua-CoWYKXUu.mjs +168 -0
  80. package/lib/lua-o7UTFJ05.js +6 -0
  81. package/lib/m3-DIJY3uEe.mjs +216 -0
  82. package/lib/m3-DOYTRLxi.js +6 -0
  83. package/lib/markdown-CDlkMTMZ.mjs +235 -0
  84. package/lib/markdown-Di5faXtP.js +6 -0
  85. package/lib/mdx-BEI71kqO.mjs +173 -0
  86. package/lib/mdx-DJV2KJ98.js +6 -0
  87. package/lib/mips-CIiuS33B.mjs +204 -0
  88. package/lib/mips-CjGjcf9D.js +6 -0
  89. package/lib/msdax-4_jBeazN.mjs +381 -0
  90. package/lib/msdax-DZFpIwAH.js +6 -0
  91. package/lib/mysql-6Y0DL_eO.js +6 -0
  92. package/lib/mysql-D_KZRjSR.mjs +884 -0
  93. package/lib/objective-c-7nsY1fc1.mjs +189 -0
  94. package/lib/objective-c-BtrzMwBz.js +6 -0
  95. package/lib/pascal-BF06Dt9I.js +6 -0
  96. package/lib/pascal-BHpF9PW1.mjs +257 -0
  97. package/lib/pascaligo-BDrxVOcH.js +6 -0
  98. package/lib/pascaligo-C3mRbxmU.mjs +170 -0
  99. package/lib/perl-BMlqAyyD.js +6 -0
  100. package/lib/perl-F3tWDMLI.mjs +632 -0
  101. package/lib/pgsql-D62t1RD_.mjs +857 -0
  102. package/lib/pgsql-DXYBcnYD.js +6 -0
  103. package/lib/php-C8GGPjjI.mjs +506 -0
  104. package/lib/php-DmDmMNs3.js +6 -0
  105. package/lib/pla-2A6q3K8_.js +6 -0
  106. package/lib/pla-C_W_x2fV.mjs +143 -0
  107. package/lib/postiats-CMS8WwLg.mjs +913 -0
  108. package/lib/postiats-DQ-6iLGI.js +6 -0
  109. package/lib/powerquery-CuZPk7NC.js +6 -0
  110. package/lib/powerquery-Dcs0XplE.mjs +896 -0
  111. package/lib/powershell-Brx1N_3T.mjs +245 -0
  112. package/lib/powershell-D7BqJxnS.js +6 -0
  113. package/lib/protobuf-CkqkhuUG.js +7 -0
  114. package/lib/protobuf-UEJDuX6l.mjs +426 -0
  115. package/lib/pug-65DF18O8.js +6 -0
  116. package/lib/pug-LDwDmrMc.mjs +408 -0
  117. package/lib/python-BOeTitp9.mjs +309 -0
  118. package/lib/python-DRyE-VQQ.js +6 -0
  119. package/lib/qsharp-Cb7hPwnM.mjs +307 -0
  120. package/lib/qsharp-Dw07PXbd.js +6 -0
  121. package/lib/r-BHuY0po5.js +6 -0
  122. package/lib/r-BiFgSgcs.mjs +249 -0
  123. package/lib/razor-D0RPXCAO.mjs +558 -0
  124. package/lib/razor-DtDOotKq.js +6 -0
  125. package/lib/redis-BdzqoKC2.mjs +308 -0
  126. package/lib/redis-_Q4kv9ug.js +6 -0
  127. package/lib/redshift-DNNCHCLR.js +6 -0
  128. package/lib/redshift-Df6PoiEL.mjs +815 -0
  129. package/lib/restructuredtext-C9FM3r80.js +6 -0
  130. package/lib/restructuredtext-CW0ZtQ2l.mjs +180 -0
  131. package/lib/ruby-DyIFepcy.mjs +517 -0
  132. package/lib/ruby-DyJmXCYh.js +6 -0
  133. package/lib/rust-BiRHKB0S.mjs +349 -0
  134. package/lib/rust-DVvzZ_uH.js +6 -0
  135. package/lib/sb-CFwmZh3c.js +6 -0
  136. package/lib/sb-CahkGwPC.mjs +121 -0
  137. package/lib/scala-Cg9Wfw6B.mjs +376 -0
  138. package/lib/scala-DjaRe0PR.js +6 -0
  139. package/lib/scheme-Bqb0wlMX.mjs +114 -0
  140. package/lib/scheme-ZdeLzG0d.js +6 -0
  141. package/lib/scss-3qd2syug.mjs +268 -0
  142. package/lib/scss-Dqv1RljX.js +8 -0
  143. package/lib/shell-B5iW6U-v.mjs +227 -0
  144. package/lib/shell-BJ5zD_-e.js +6 -0
  145. package/lib/solidity-8Ys0iSgd.mjs +1373 -0
  146. package/lib/solidity-CagYWQAe.js +6 -0
  147. package/lib/sophia-PnZfErC3.mjs +205 -0
  148. package/lib/sophia-szuux90p.js +6 -0
  149. package/lib/sparql-CXz1-gkd.js +6 -0
  150. package/lib/sparql-CgWX-KRM.mjs +207 -0
  151. package/lib/sql-CN3Vg4Sm.mjs +859 -0
  152. package/lib/sql-CWZ5x4mg.js +6 -0
  153. package/lib/st-CcWMPoh3.js +6 -0
  154. package/lib/st-DKDuarOh.mjs +422 -0
  155. package/lib/swift-DUBoAkDR.js +8 -0
  156. package/lib/swift-DnXH6Con.mjs +318 -0
  157. package/lib/systemverilog-5hQzl-99.js +6 -0
  158. package/lib/systemverilog-pJOgZU46.mjs +582 -0
  159. package/lib/tcl-Bls9iNXn.mjs +238 -0
  160. package/lib/tcl-CiK0GhJi.js +6 -0
  161. package/lib/tsMode-BB2mDc5d.mjs +906 -0
  162. package/lib/tsMode-N-LS5RKx.js +16 -0
  163. package/lib/twig-B14HEeE0.mjs +398 -0
  164. package/lib/twig-Cbq-Ua-E.js +6 -0
  165. package/lib/types/locale/fa-IR.d.ts +3 -0
  166. package/lib/types/plugin.d.ts +2 -1
  167. package/lib/typescript-CZplv8KD.js +6 -0
  168. package/lib/typescript-f9PHTVoW.mjs +351 -0
  169. package/lib/typespec-Br_LC070.js +6 -0
  170. package/lib/typespec-dAaIdBal.mjs +125 -0
  171. package/lib/umd/index.js +1914 -2
  172. package/lib/vb-B1HzwoGL.js +6 -0
  173. package/lib/vb-CPBujGrP.mjs +378 -0
  174. package/lib/wgsl-BNAUPMiJ.js +303 -0
  175. package/lib/wgsl-CqCqdnZC.mjs +448 -0
  176. package/lib/xml-BSe-ETnG.js +6 -0
  177. package/lib/xml-Dv0cmUxw.mjs +103 -0
  178. package/lib/yaml-O_DoXtBP.js +6 -0
  179. package/lib/yaml-eXS7QSgG.mjs +214 -0
  180. package/package.json +13 -21
@@ -0,0 +1,318 @@
1
+ /*!-----------------------------------------------------------------------------
2
+ * Copyright (c) Microsoft Corporation. All rights reserved.
3
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
4
+ * Released under the MIT license
5
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
6
+ *-----------------------------------------------------------------------------*/
7
+ var conf = {
8
+ comments: {
9
+ lineComment: "//",
10
+ blockComment: ["/*", "*/"]
11
+ },
12
+ brackets: [
13
+ ["{", "}"],
14
+ ["[", "]"],
15
+ ["(", ")"]
16
+ ],
17
+ autoClosingPairs: [
18
+ { open: "{", close: "}" },
19
+ { open: "[", close: "]" },
20
+ { open: "(", close: ")" },
21
+ { open: '"', close: '"' },
22
+ { open: "'", close: "'" },
23
+ { open: "`", close: "`" }
24
+ ],
25
+ surroundingPairs: [
26
+ { open: "{", close: "}" },
27
+ { open: "[", close: "]" },
28
+ { open: "(", close: ")" },
29
+ { open: '"', close: '"' },
30
+ { open: "'", close: "'" },
31
+ { open: "`", close: "`" }
32
+ ]
33
+ }, language = {
34
+ defaultToken: "",
35
+ tokenPostfix: ".swift",
36
+ // TODO(owensd): Support the full range of unicode valid identifiers.
37
+ identifier: /[a-zA-Z_][\w$]*/,
38
+ attributes: [
39
+ "@GKInspectable",
40
+ "@IBAction",
41
+ "@IBDesignable",
42
+ "@IBInspectable",
43
+ "@IBOutlet",
44
+ "@IBSegueAction",
45
+ "@NSApplicationMain",
46
+ "@NSCopying",
47
+ "@NSManaged",
48
+ "@Sendable",
49
+ "@UIApplicationMain",
50
+ "@autoclosure",
51
+ "@actorIndependent",
52
+ "@asyncHandler",
53
+ "@available",
54
+ "@convention",
55
+ "@derivative",
56
+ "@differentiable",
57
+ "@discardableResult",
58
+ "@dynamicCallable",
59
+ "@dynamicMemberLookup",
60
+ "@escaping",
61
+ "@frozen",
62
+ "@globalActor",
63
+ "@inlinable",
64
+ "@inline",
65
+ "@main",
66
+ "@noDerivative",
67
+ "@nonobjc",
68
+ "@noreturn",
69
+ "@objc",
70
+ "@objcMembers",
71
+ "@preconcurrency",
72
+ "@propertyWrapper",
73
+ "@requires_stored_property_inits",
74
+ "@resultBuilder",
75
+ "@testable",
76
+ "@unchecked",
77
+ "@unknown",
78
+ "@usableFromInline",
79
+ "@warn_unqualified_access"
80
+ ],
81
+ accessmodifiers: ["open", "public", "internal", "fileprivate", "private"],
82
+ keywords: [
83
+ "#available",
84
+ "#colorLiteral",
85
+ "#column",
86
+ "#dsohandle",
87
+ "#else",
88
+ "#elseif",
89
+ "#endif",
90
+ "#error",
91
+ "#file",
92
+ "#fileID",
93
+ "#fileLiteral",
94
+ "#filePath",
95
+ "#function",
96
+ "#if",
97
+ "#imageLiteral",
98
+ "#keyPath",
99
+ "#line",
100
+ "#selector",
101
+ "#sourceLocation",
102
+ "#warning",
103
+ "Any",
104
+ "Protocol",
105
+ "Self",
106
+ "Type",
107
+ "actor",
108
+ "as",
109
+ "assignment",
110
+ "associatedtype",
111
+ "associativity",
112
+ "async",
113
+ "await",
114
+ "break",
115
+ "case",
116
+ "catch",
117
+ "class",
118
+ "continue",
119
+ "convenience",
120
+ "default",
121
+ "defer",
122
+ "deinit",
123
+ "didSet",
124
+ "do",
125
+ "dynamic",
126
+ "dynamicType",
127
+ "else",
128
+ "enum",
129
+ "extension",
130
+ "fallthrough",
131
+ "false",
132
+ "fileprivate",
133
+ "final",
134
+ "for",
135
+ "func",
136
+ "get",
137
+ "guard",
138
+ "higherThan",
139
+ "if",
140
+ "import",
141
+ "in",
142
+ "indirect",
143
+ "infix",
144
+ "init",
145
+ "inout",
146
+ "internal",
147
+ "is",
148
+ "isolated",
149
+ "lazy",
150
+ "left",
151
+ "let",
152
+ "lowerThan",
153
+ "mutating",
154
+ "nil",
155
+ "none",
156
+ "nonisolated",
157
+ "nonmutating",
158
+ "open",
159
+ "operator",
160
+ "optional",
161
+ "override",
162
+ "postfix",
163
+ "precedence",
164
+ "precedencegroup",
165
+ "prefix",
166
+ "private",
167
+ "protocol",
168
+ "public",
169
+ "repeat",
170
+ "required",
171
+ "rethrows",
172
+ "return",
173
+ "right",
174
+ "safe",
175
+ "self",
176
+ "set",
177
+ "some",
178
+ "static",
179
+ "struct",
180
+ "subscript",
181
+ "super",
182
+ "switch",
183
+ "throw",
184
+ "throws",
185
+ "true",
186
+ "try",
187
+ "typealias",
188
+ "unowned",
189
+ "unsafe",
190
+ "var",
191
+ "weak",
192
+ "where",
193
+ "while",
194
+ "willSet",
195
+ "__consuming",
196
+ "__owned"
197
+ ],
198
+ symbols: /[=(){}\[\].,:;@#\_&\-<>`?!+*\\\/]/,
199
+ // Moved . to operatorstart so it can be a delimiter
200
+ operatorstart: /[\/=\-+!*%<>&|^~?\u00A1-\u00A7\u00A9\u00AB\u00AC\u00AE\u00B0-\u00B1\u00B6\u00BB\u00BF\u00D7\u00F7\u2016-\u2017\u2020-\u2027\u2030-\u203E\u2041-\u2053\u2055-\u205E\u2190-\u23FF\u2500-\u2775\u2794-\u2BFF\u2E00-\u2E7F\u3001-\u3003\u3008-\u3030]/,
201
+ operatorend: /[\u0300-\u036F\u1DC0-\u1DFF\u20D0-\u20FF\uFE00-\uFE0F\uFE20-\uFE2F\uE0100-\uE01EF]/,
202
+ operators: /(@operatorstart)((@operatorstart)|(@operatorend))*/,
203
+ // TODO(owensd): These are borrowed from C#; need to validate correctness for Swift.
204
+ escapes: /\\(?:[abfnrtv\\"']|x[0-9A-Fa-f]{1,4}|u[0-9A-Fa-f]{4}|U[0-9A-Fa-f]{8})/,
205
+ tokenizer: {
206
+ root: [
207
+ { include: "@whitespace" },
208
+ { include: "@comment" },
209
+ { include: "@attribute" },
210
+ { include: "@literal" },
211
+ { include: "@keyword" },
212
+ { include: "@invokedmethod" },
213
+ { include: "@symbol" }
214
+ ],
215
+ whitespace: [
216
+ [/\s+/, "white"],
217
+ [/"""/, "string.quote", "@endDblDocString"]
218
+ ],
219
+ endDblDocString: [
220
+ [/[^"]+/, "string"],
221
+ [/\\"/, "string"],
222
+ [/"""/, "string.quote", "@popall"],
223
+ [/"/, "string"]
224
+ ],
225
+ symbol: [
226
+ [/[{}()\[\]]/, "@brackets"],
227
+ [/[<>](?!@symbols)/, "@brackets"],
228
+ [/[.]/, "delimiter"],
229
+ [/@operators/, "operator"],
230
+ [/@symbols/, "operator"]
231
+ ],
232
+ comment: [
233
+ [/\/\/\/.*$/, "comment.doc"],
234
+ [/\/\*\*/, "comment.doc", "@commentdocbody"],
235
+ [/\/\/.*$/, "comment"],
236
+ [/\/\*/, "comment", "@commentbody"]
237
+ ],
238
+ commentdocbody: [
239
+ [/\/\*/, "comment", "@commentbody"],
240
+ [/\*\//, "comment.doc", "@pop"],
241
+ [/\:[a-zA-Z]+\:/, "comment.doc.param"],
242
+ [/./, "comment.doc"]
243
+ ],
244
+ commentbody: [
245
+ [/\/\*/, "comment", "@commentbody"],
246
+ [/\*\//, "comment", "@pop"],
247
+ [/./, "comment"]
248
+ ],
249
+ attribute: [
250
+ [
251
+ /@@@identifier/,
252
+ {
253
+ cases: {
254
+ "@attributes": "keyword.control",
255
+ "@default": ""
256
+ }
257
+ }
258
+ ]
259
+ ],
260
+ literal: [
261
+ [/"/, { token: "string.quote", next: "@stringlit" }],
262
+ [/0[b]([01]_?)+/, "number.binary"],
263
+ [/0[o]([0-7]_?)+/, "number.octal"],
264
+ [/0[x]([0-9a-fA-F]_?)+([pP][\-+](\d_?)+)?/, "number.hex"],
265
+ [/(\d_?)*\.(\d_?)+([eE][\-+]?(\d_?)+)?/, "number.float"],
266
+ [/(\d_?)+/, "number"]
267
+ ],
268
+ stringlit: [
269
+ [/\\\(/, { token: "operator", next: "@interpolatedexpression" }],
270
+ [/@escapes/, "string"],
271
+ [/\\./, "string.escape.invalid"],
272
+ [/"/, { token: "string.quote", next: "@pop" }],
273
+ [/./, "string"]
274
+ ],
275
+ interpolatedexpression: [
276
+ [/\(/, { token: "operator", next: "@interpolatedexpression" }],
277
+ [/\)/, { token: "operator", next: "@pop" }],
278
+ { include: "@literal" },
279
+ { include: "@keyword" },
280
+ { include: "@symbol" }
281
+ ],
282
+ keyword: [
283
+ [/`/, { token: "operator", next: "@escapedkeyword" }],
284
+ [
285
+ /@identifier/,
286
+ {
287
+ cases: {
288
+ "@keywords": "keyword",
289
+ "[A-Z][a-zA-Z0-9$]*": "type.identifier",
290
+ "@default": "identifier"
291
+ }
292
+ }
293
+ ]
294
+ ],
295
+ escapedkeyword: [
296
+ [/`/, { token: "operator", next: "@pop" }],
297
+ [/./, "identifier"]
298
+ ],
299
+ invokedmethod: [
300
+ [
301
+ /([.])(@identifier)/,
302
+ {
303
+ cases: {
304
+ $2: ["delimeter", "type.identifier"],
305
+ "@default": ""
306
+ }
307
+ }
308
+ ]
309
+ ]
310
+ }
311
+ };
312
+ /*!---------------------------------------------------------------------------------------------
313
+ * Copyright (C) David Owens II, owensd.io. All rights reserved.
314
+ *--------------------------------------------------------------------------------------------*/
315
+ export {
316
+ conf,
317
+ language
318
+ };
@@ -0,0 +1,6 @@
1
+ "use strict";Object.defineProperty(exports,Symbol.toStringTag,{value:"Module"});/*!-----------------------------------------------------------------------------
2
+ * Copyright (c) Microsoft Corporation. All rights reserved.
3
+ * Version: 0.52.0(f6dc0eb8fce67e57f6036f4769d92c1666cdf546)
4
+ * Released under the MIT license
5
+ * https://github.com/microsoft/monaco-editor/blob/main/LICENSE.txt
6
+ *-----------------------------------------------------------------------------*/var conf={comments:{lineComment:"//",blockComment:["/*","*/"]},brackets:[["{","}"],["[","]"],["(",")"],["begin","end"],["case","endcase"],["casex","endcase"],["casez","endcase"],["checker","endchecker"],["class","endclass"],["clocking","endclocking"],["config","endconfig"],["function","endfunction"],["generate","endgenerate"],["group","endgroup"],["interface","endinterface"],["module","endmodule"],["package","endpackage"],["primitive","endprimitive"],["program","endprogram"],["property","endproperty"],["specify","endspecify"],["sequence","endsequence"],["table","endtable"],["task","endtask"]],autoClosingPairs:[{open:"[",close:"]"},{open:"{",close:"}"},{open:"(",close:")"},{open:"'",close:"'",notIn:["string","comment"]},{open:'"',close:'"',notIn:["string"]}],surroundingPairs:[{open:"{",close:"}"},{open:"[",close:"]"},{open:"(",close:")"},{open:'"',close:'"'},{open:"'",close:"'"}],folding:{offSide:!1,markers:{start:new RegExp("^(?:\\s*|.*(?!\\/[\\/\\*])[^\\w])(?:begin|case(x|z)?|class|clocking|config|covergroup|function|generate|interface|module|package|primitive|property|program|sequence|specify|table|task)\\b"),end:new RegExp("^(?:\\s*|.*(?!\\/[\\/\\*])[^\\w])(?:end|endcase|endclass|endclocking|endconfig|endgroup|endfunction|endgenerate|endinterface|endmodule|endpackage|endprimitive|endproperty|endprogram|endsequence|endspecify|endtable|endtask)\\b")}}},language={defaultToken:"",tokenPostfix:".sv",brackets:[{token:"delimiter.curly",open:"{",close:"}"},{token:"delimiter.parenthesis",open:"(",close:")"},{token:"delimiter.square",open:"[",close:"]"},{token:"delimiter.angle",open:"<",close:">"}],keywords:["accept_on","alias","always","always_comb","always_ff","always_latch","and","assert","assign","assume","automatic","before","begin","bind","bins","binsof","bit","break","buf","bufif0","bufif1","byte","case","casex","casez","cell","chandle","checker","class","clocking","cmos","config","const","constraint","context","continue","cover","covergroup","coverpoint","cross","deassign","default","defparam","design","disable","dist","do","edge","else","end","endcase","endchecker","endclass","endclocking","endconfig","endfunction","endgenerate","endgroup","endinterface","endmodule","endpackage","endprimitive","endprogram","endproperty","endspecify","endsequence","endtable","endtask","enum","event","eventually","expect","export","extends","extern","final","first_match","for","force","foreach","forever","fork","forkjoin","function","generate","genvar","global","highz0","highz1","if","iff","ifnone","ignore_bins","illegal_bins","implements","implies","import","incdir","include","initial","inout","input","inside","instance","int","integer","interconnect","interface","intersect","join","join_any","join_none","large","let","liblist","library","local","localparam","logic","longint","macromodule","matches","medium","modport","module","nand","negedge","nettype","new","nexttime","nmos","nor","noshowcancelled","not","notif0","notif1","null","or","output","package","packed","parameter","pmos","posedge","primitive","priority","program","property","protected","pull0","pull1","pulldown","pullup","pulsestyle_ondetect","pulsestyle_onevent","pure","rand","randc","randcase","randsequence","rcmos","real","realtime","ref","reg","reject_on","release","repeat","restrict","return","rnmos","rpmos","rtran","rtranif0","rtranif1","s_always","s_eventually","s_nexttime","s_until","s_until_with","scalared","sequence","shortint","shortreal","showcancelled","signed","small","soft","solve","specify","specparam","static","string","strong","strong0","strong1","struct","super","supply0","supply1","sync_accept_on","sync_reject_on","table","tagged","task","this","throughout","time","timeprecision","timeunit","tran","tranif0","tranif1","tri","tri0","tri1","triand","trior","trireg","type","typedef","union","unique","unique0","unsigned","until","until_with","untyped","use","uwire","var","vectored","virtual","void","wait","wait_order","wand","weak","weak0","weak1","while","wildcard","wire","with","within","wor","xnor","xor"],builtin_gates:["and","nand","nor","or","xor","xnor","buf","not","bufif0","bufif1","notif1","notif0","cmos","nmos","pmos","rcmos","rnmos","rpmos","tran","tranif1","tranif0","rtran","rtranif1","rtranif0"],operators:["=","+=","-=","*=","/=","%=","&=","|=","^=","<<=",">>+","<<<=",">>>=","?",":","+","-","!","~","&","~&","|","~|","^","~^","^~","+","-","*","/","%","==","!=","===","!==","==?","!=?","&&","||","**","<","<=",">",">=","&","|","^",">>","<<",">>>","<<<","++","--","->","<->","inside","dist","::","+:","-:","*>","&&&","|->","|=>","#=#"],symbols:/[=><!~?:&|+\-*\/\^%#]+/,escapes:/%%|\\(?:[antvf\\"']|x[0-9A-Fa-f]{1,2}|[0-7]{1,3})/,identifier:/(?:[a-zA-Z_][a-zA-Z0-9_$\.]*|\\\S+ )/,systemcall:/[$][a-zA-Z0-9_]+/,timeunits:/s|ms|us|ns|ps|fs/,tokenizer:{root:[[/^(\s*)(@identifier)/,["",{cases:{"@builtin_gates":{token:"keyword.$2",next:"@module_instance"},table:{token:"keyword.$2",next:"@table"},"@keywords":{token:"keyword.$2"},"@default":{token:"identifier",next:"@module_instance"}}}]],[/^\s*`include/,{token:"keyword.directive.include",next:"@include"}],[/^\s*`\s*\w+/,"keyword"],{include:"@identifier_or_keyword"},{include:"@whitespace"},[/\(\*.*\*\)/,"annotation"],[/@systemcall/,"variable.predefined"],[/[{}()\[\]]/,"@brackets"],[/[<>](?!@symbols)/,"@brackets"],[/@symbols/,{cases:{"@operators":"delimiter","@default":""}}],{include:"@numbers"},[/[;,.]/,"delimiter"],{include:"@strings"}],identifier_or_keyword:[[/@identifier/,{cases:{"@keywords":{token:"keyword.$0"},"@default":"identifier"}}]],numbers:[[/\d+?[\d_]*(?:\.[\d_]+)?[eE][\-+]?\d+/,"number.float"],[/\d+?[\d_]*\.[\d_]+(?:\s*@timeunits)?/,"number.float"],[/(?:\d+?[\d_]*\s*)?'[sS]?[dD]\s*[0-9xXzZ?]+?[0-9xXzZ?_]*/,"number"],[/(?:\d+?[\d_]*\s*)?'[sS]?[bB]\s*[0-1xXzZ?]+?[0-1xXzZ?_]*/,"number.binary"],[/(?:\d+?[\d_]*\s*)?'[sS]?[oO]\s*[0-7xXzZ?]+?[0-7xXzZ?_]*/,"number.octal"],[/(?:\d+?[\d_]*\s*)?'[sS]?[hH]\s*[0-9a-fA-FxXzZ?]+?[0-9a-fA-FxXzZ?_]*/,"number.hex"],[/1step/,"number"],[/[\dxXzZ]+?[\dxXzZ_]*(?:\s*@timeunits)?/,"number"],[/'[01xXzZ]+/,"number"]],module_instance:[{include:"@whitespace"},[/(#?)(\()/,["",{token:"@brackets",next:"@port_connection"}]],[/@identifier\s*[;={}\[\],]/,{token:"@rematch",next:"@pop"}],[/@symbols|[;={}\[\],]/,{token:"@rematch",next:"@pop"}],[/@identifier/,"type"],[/;/,"delimiter","@pop"]],port_connection:[{include:"@identifier_or_keyword"},{include:"@whitespace"},[/@systemcall/,"variable.predefined"],{include:"@numbers"},{include:"@strings"},[/[,]/,"delimiter"],[/\(/,"@brackets","@port_connection"],[/\)/,"@brackets","@pop"]],whitespace:[[/[ \t\r\n]+/,""],[/\/\*/,"comment","@comment"],[/\/\/.*$/,"comment"]],comment:[[/[^\/*]+/,"comment"],[/\*\//,"comment","@pop"],[/[\/*]/,"comment"]],strings:[[/"([^"\\]|\\.)*$/,"string.invalid"],[/"/,"string","@string"]],string:[[/[^\\"]+/,"string"],[/@escapes/,"string.escape"],[/\\./,"string.escape.invalid"],[/"/,"string","@pop"]],include:[[/(\s*)(")([\w*\/*]*)(.\w*)(")/,["","string.include.identifier","string.include.identifier","string.include.identifier",{token:"string.include.identifier",next:"@pop"}]],[/(\s*)(<)([\w*\/*]*)(.\w*)(>)/,["","string.include.identifier","string.include.identifier","string.include.identifier",{token:"string.include.identifier",next:"@pop"}]]],table:[{include:"@whitespace"},[/[()]/,"@brackets"],[/[:;]/,"delimiter"],[/[01\-*?xXbBrRfFpPnN]/,"variable.predefined"],["endtable","keyword.endtable","@pop"]]}};exports.conf=conf;exports.language=language;