@nrwl/workspace 13.10.0-beta.2 → 13.10.0-beta.5

Sign up to get free protection for your applications and to get access to all the features.
Files changed (61) hide show
  1. package/index.js +1 -1
  2. package/index.js.map +1 -1
  3. package/package.json +5 -5
  4. package/src/executors/counter/counter.impl.js +7 -7
  5. package/src/executors/counter/counter.impl.js.map +1 -1
  6. package/src/executors/run-commands/run-commands.impl.js +4 -4
  7. package/src/executors/run-script/run-script.impl.js +1 -1
  8. package/src/generators/convert-to-nx-project/convert-to-nx-project.js +2 -2
  9. package/src/generators/library/library.js +2 -2
  10. package/src/generators/move/move.js +1 -1
  11. package/src/generators/new/new.js +3 -3
  12. package/src/generators/npm-package/npm-package.js +1 -1
  13. package/src/generators/preset/preset.js +2 -2
  14. package/src/generators/remove/lib/check-dependencies.js +1 -1
  15. package/src/generators/remove/remove.js +1 -1
  16. package/src/generators/run-commands/run-commands.js +1 -1
  17. package/src/generators/workspace/workspace.js +1 -1
  18. package/src/generators/workspace-generator/workspace-generator.js +1 -1
  19. package/src/migrations/update-12-5-0/add-target-dependencies.js +1 -1
  20. package/src/migrations/update-13-0-0/config-locations/config-locations.js +1 -1
  21. package/src/migrations/update-13-0-0/set-default-base-if-not-set.js +1 -1
  22. package/src/migrations/update-13-2-0/set-parallel-default.js +1 -1
  23. package/src/migrations/update-13-3-0/update-tsc-executor-location.js +1 -1
  24. package/src/tasks-runner/cache.d.ts +1 -0
  25. package/src/tasks-runner/cache.js +6 -0
  26. package/src/tasks-runner/cache.js.map +1 -0
  27. package/src/tasks-runner/default-tasks-runner.d.ts +1 -0
  28. package/src/tasks-runner/default-tasks-runner.js +5 -0
  29. package/src/tasks-runner/default-tasks-runner.js.map +1 -0
  30. package/src/tasks-runner/life-cycle.d.ts +1 -0
  31. package/src/tasks-runner/life-cycle.js +6 -0
  32. package/src/tasks-runner/life-cycle.js.map +1 -0
  33. package/src/tasks-runner/life-cycles/dynamic-run-many-terminal-output-life-cycle.d.ts +1 -0
  34. package/src/tasks-runner/life-cycles/dynamic-run-many-terminal-output-life-cycle.js +5 -0
  35. package/src/tasks-runner/life-cycles/dynamic-run-many-terminal-output-life-cycle.js.map +1 -0
  36. package/src/tasks-runner/tasks-runner-v2.d.ts +1 -0
  37. package/src/tasks-runner/tasks-runner-v2.js +7 -0
  38. package/src/tasks-runner/tasks-runner-v2.js.map +1 -0
  39. package/src/tasks-runner/tasks-runner.d.ts +1 -0
  40. package/src/tasks-runner/tasks-runner.js +5 -0
  41. package/src/tasks-runner/tasks-runner.js.map +1 -0
  42. package/src/tasks-runner/utils.d.ts +1 -0
  43. package/src/tasks-runner/utils.js +5 -0
  44. package/src/tasks-runner/utils.js.map +1 -0
  45. package/src/utilities/output.js +1 -1
  46. package/src/utilities/output.js.map +1 -1
  47. package/src/utilities/plugins/community-plugins.js +1 -1
  48. package/src/utilities/prettier.js +1 -1
  49. package/src/utilities/run-tasks-in-serial.js +1 -1
  50. package/src/utilities/typescript/compilation.js +1 -1
  51. package/src/utilities/typescript/compilation.js.map +1 -1
  52. package/src/utils/lint.js +1 -1
  53. package/src/utils/lint.js.map +1 -1
  54. package/src/utils/rules/format-files.js +1 -1
  55. package/src/utils/rules/rename-package-imports.js +1 -1
  56. package/src/utils/testing-utils.js +3 -3
  57. package/src/utils/testing.js +2 -2
  58. package/src/utils/version-utils.js +1 -1
  59. package/src/utils/version-utils.js.map +1 -1
  60. package/src/utils/versions.js +1 -1
  61. package/src/utils/workspace.js +6 -6
package/index.js CHANGED
@@ -70,7 +70,7 @@ var format_files_1 = require("./src/utils/rules/format-files");
70
70
  Object.defineProperty(exports, "formatFiles", { enumerable: true, get: function () { return format_files_1.formatFiles; } });
71
71
  var deleteFile_1 = require("./src/utils/rules/deleteFile");
72
72
  Object.defineProperty(exports, "deleteFile", { enumerable: true, get: function () { return deleteFile_1.deleteFile; } });
73
- (0, tslib_1.__exportStar)(require("./src/utils/rules/ng-add"), exports);
73
+ tslib_1.__exportStar(require("./src/utils/rules/ng-add"), exports);
74
74
  var update_karma_conf_1 = require("./src/utils/rules/update-karma-conf");
75
75
  Object.defineProperty(exports, "updateKarmaConf", { enumerable: true, get: function () { return update_karma_conf_1.updateKarmaConf; } });
76
76
  var visit_not_ignored_files_1 = require("./src/utils/rules/visit-not-ignored-files");
package/index.js.map CHANGED
@@ -1 +1 @@
1
- {"version":3,"file":"index.js","sourceRoot":"","sources":["../../../packages/workspace/index.ts"],"names":[],"mappings":";;;;;AAAA,yDAA0D;AAAjD,0GAAA,YAAY,OAAA;AACrB,yDAAuE;AAA9D,2GAAA,WAAW,OAAA;AAAE,8GAAA,cAAc,OAAA;AACpC,uDAKmC;AAJjC,uGAAA,UAAU,OAAA;AACV,2GAAA,cAAc,OAAA;AACd,qGAAA,QAAQ,OAAA;AACR,4GAAA,eAAe,OAAA;AAGjB,qDAGkC;AADhC,6HAAA,iCAAiC,OAAA;AAGnC,iDAAgD;AAAvC,gGAAA,MAAM,OAAA;AAEf,qDAKgC;AAJ9B,+GAAA,iBAAiB,OAAA;AACjB,wGAAA,UAAU,OAAA;AACV,iHAAA,mBAAmB,OAAA;AACnB,6GAAA,eAAe,OAAA;AAGjB,mDAwB+B;AAvB7B,2GAAA,cAAc,OAAA;AACd,6GAAA,gBAAgB,OAAA;AAChB,kHAAA,qBAAqB,OAAA;AACrB,mGAAA,MAAM,OAAA;AACN,6GAAA,gBAAgB,OAAA;AAChB,iHAAA,oBAAoB,OAAA;AACpB,sGAAA,SAAS,OAAA;AACT,iHAAA,oBAAoB,OAAA;AACpB,sGAAA,SAAS,OAAA;AACT,6GAAA,gBAAgB,OAAA;AAChB,sHAAA,yBAAyB,OAAA;AACzB,2GAAA,cAAc,OAAA;AACd,sGAAA,SAAS,OAAA;AACT,0HAAA,6BAA6B,OAAA;AAC7B,0GAAA,aAAa,OAAA;AACb,6GAAA,gBAAgB,OAAA;AAChB,gHAAA,mBAAmB,OAAA;AACnB,+GAAA,kBAAkB,OAAA;AAClB,qHAAA,wBAAwB,OAAA;AACxB,6GAAA,gBAAgB,OAAA;AAChB,yGAAA,YAAY,OAAA;AACZ,0GAAA,aAAa,OAAA;AACb,yGAAA,YAAY,OAAA;AAGd,iEAOsC;AANpC,+GAAA,WAAW,OAAA;AACX,oHAAA,gBAAgB,OAAA;AAChB,0HAAA,sBAAsB,OAAA;AACtB,8GAAA,UAAU,OAAA;AACV,+GAAA,WAAW,OAAA;AACX,mHAAA,eAAe,OAAA;AAGjB,mDAAoD;AAA3C,gGAAA,OAAO,OAAA;AAEhB,mDAI+B;AAH7B,yGAAA,YAAY,OAAA;AACZ,4GAAA,eAAe,OAAA;AACf,gHAAA,mBAAmB,OAAA;AAErB,uDAAwD;AAA/C,4GAAA,aAAa,OAAA;AACtB,yCAA6E;AAApE,oGAAA,YAAY,OAAA;AAAE,2GAAA,mBAAmB,OAAA;AAE1C,uEAAoE;AAA3D,kHAAA,cAAc,OAAA;AACvB,+DAA6D;AAApD,2GAAA,WAAW,OAAA;AACpB,2DAA0D;AAAjD,wGAAA,UAAU,OAAA;AACnB,wEAAyC;AACzC,yEAAsE;AAA7D,oHAAA,eAAe,OAAA;AACxB,qFAAiF;AAAxE,+HAAA,oBAAoB,OAAA;AAC7B,yDAAmE;AAA1D,iHAAA,oBAAoB,OAAA;AAC7B,mFAAgF;AAAvE,8HAAA,oBAAoB,OAAA;AAC7B,6EAA0E;AAAjE,wHAAA,iBAAiB,OAAA;AAC1B,+CAA+C;AAC/C,2DAAoE;AAA3D,wHAAA,uBAAuB,OAAA;AAChC,+FAA0F;AAAjF,8IAAA,2BAA2B,OAAA;AAEpC,4DAAoE;AAA3D,2GAAA,gBAAgB,OAAA;AACzB,mDAA2D;AAAlD,qGAAA,aAAa,OAAA;AACtB,yDAAiE;AAAxD,yGAAA,eAAe,OAAA;AACxB,2EAAkF;AAAzE,oHAAA,oBAAoB,OAAA;AAC7B,sGAGsE;AAFpE,oIAAA,2BAA2B,OAAA;AAC3B,oIAAA,2BAA2B,OAAA;AAGhB,QAAA,WAAW,GAAG,OAAO,CAAC"}
1
+ {"version":3,"file":"index.js","sourceRoot":"","sources":["../../../packages/workspace/index.ts"],"names":[],"mappings":";;;;;AAAA,yDAA0D;AAAjD,0GAAA,YAAY,OAAA;AACrB,yDAAuE;AAA9D,2GAAA,WAAW,OAAA;AAAE,8GAAA,cAAc,OAAA;AACpC,uDAKmC;AAJjC,uGAAA,UAAU,OAAA;AACV,2GAAA,cAAc,OAAA;AACd,qGAAA,QAAQ,OAAA;AACR,4GAAA,eAAe,OAAA;AAGjB,qDAGkC;AADhC,6HAAA,iCAAiC,OAAA;AAGnC,iDAAgD;AAAvC,gGAAA,MAAM,OAAA;AAEf,qDAKgC;AAJ9B,+GAAA,iBAAiB,OAAA;AACjB,wGAAA,UAAU,OAAA;AACV,iHAAA,mBAAmB,OAAA;AACnB,6GAAA,eAAe,OAAA;AAGjB,mDAwB+B;AAvB7B,2GAAA,cAAc,OAAA;AACd,6GAAA,gBAAgB,OAAA;AAChB,kHAAA,qBAAqB,OAAA;AACrB,mGAAA,MAAM,OAAA;AACN,6GAAA,gBAAgB,OAAA;AAChB,iHAAA,oBAAoB,OAAA;AACpB,sGAAA,SAAS,OAAA;AACT,iHAAA,oBAAoB,OAAA;AACpB,sGAAA,SAAS,OAAA;AACT,6GAAA,gBAAgB,OAAA;AAChB,sHAAA,yBAAyB,OAAA;AACzB,2GAAA,cAAc,OAAA;AACd,sGAAA,SAAS,OAAA;AACT,0HAAA,6BAA6B,OAAA;AAC7B,0GAAA,aAAa,OAAA;AACb,6GAAA,gBAAgB,OAAA;AAChB,gHAAA,mBAAmB,OAAA;AACnB,+GAAA,kBAAkB,OAAA;AAClB,qHAAA,wBAAwB,OAAA;AACxB,6GAAA,gBAAgB,OAAA;AAChB,yGAAA,YAAY,OAAA;AACZ,0GAAA,aAAa,OAAA;AACb,yGAAA,YAAY,OAAA;AAGd,iEAOsC;AANpC,+GAAA,WAAW,OAAA;AACX,oHAAA,gBAAgB,OAAA;AAChB,0HAAA,sBAAsB,OAAA;AACtB,8GAAA,UAAU,OAAA;AACV,+GAAA,WAAW,OAAA;AACX,mHAAA,eAAe,OAAA;AAGjB,mDAAoD;AAA3C,gGAAA,OAAO,OAAA;AAEhB,mDAI+B;AAH7B,yGAAA,YAAY,OAAA;AACZ,4GAAA,eAAe,OAAA;AACf,gHAAA,mBAAmB,OAAA;AAErB,uDAAwD;AAA/C,4GAAA,aAAa,OAAA;AACtB,yCAA6E;AAApE,oGAAA,YAAY,OAAA;AAAE,2GAAA,mBAAmB,OAAA;AAE1C,uEAAoE;AAA3D,kHAAA,cAAc,OAAA;AACvB,+DAA6D;AAApD,2GAAA,WAAW,OAAA;AACpB,2DAA0D;AAAjD,wGAAA,UAAU,OAAA;AACnB,mEAAyC;AACzC,yEAAsE;AAA7D,oHAAA,eAAe,OAAA;AACxB,qFAAiF;AAAxE,+HAAA,oBAAoB,OAAA;AAC7B,yDAAmE;AAA1D,iHAAA,oBAAoB,OAAA;AAC7B,mFAAgF;AAAvE,8HAAA,oBAAoB,OAAA;AAC7B,6EAA0E;AAAjE,wHAAA,iBAAiB,OAAA;AAC1B,+CAA+C;AAC/C,2DAAoE;AAA3D,wHAAA,uBAAuB,OAAA;AAChC,+FAA0F;AAAjF,8IAAA,2BAA2B,OAAA;AAEpC,4DAAoE;AAA3D,2GAAA,gBAAgB,OAAA;AACzB,mDAA2D;AAAlD,qGAAA,aAAa,OAAA;AACtB,yDAAiE;AAAxD,yGAAA,eAAe,OAAA;AACxB,2EAAkF;AAAzE,oHAAA,oBAAoB,OAAA;AAC7B,sGAGsE;AAFpE,oIAAA,2BAA2B,OAAA;AAC3B,oIAAA,2BAA2B,OAAA;AAGhB,QAAA,WAAW,GAAG,OAAO,CAAC"}
package/package.json CHANGED
@@ -1,6 +1,6 @@
1
1
  {
2
2
  "name": "@nrwl/workspace",
3
- "version": "13.10.0-beta.2",
3
+ "version": "13.10.0-beta.5",
4
4
  "description": "Smart, Fast and Extensible Build System",
5
5
  "repository": {
6
6
  "type": "git",
@@ -80,10 +80,10 @@
80
80
  "minimatch": "3.0.4",
81
81
  "enquirer": "~2.3.6",
82
82
  "tslib": "^2.3.0",
83
- "nx": "13.10.0-beta.2",
84
- "@nrwl/devkit": "13.10.0-beta.2",
85
- "@nrwl/jest": "13.10.0-beta.2",
86
- "@nrwl/linter": "13.10.0-beta.2"
83
+ "nx": "13.10.0-beta.5",
84
+ "@nrwl/devkit": "13.10.0-beta.5",
85
+ "@nrwl/jest": "13.10.0-beta.5",
86
+ "@nrwl/linter": "13.10.0-beta.5"
87
87
  },
88
88
  "nx-migrations": {
89
89
  "migrations": "./migrations.json"
@@ -4,29 +4,29 @@ exports.batchCounter = void 0;
4
4
  const tslib_1 = require("tslib");
5
5
  const os_1 = require("os");
6
6
  function wait() {
7
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
7
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
8
8
  return new Promise((res) => {
9
9
  setTimeout(() => res(), 1000);
10
10
  });
11
11
  });
12
12
  }
13
13
  function counter(opts) {
14
- return (0, tslib_1.__asyncGenerator)(this, arguments, function* counter_1() {
14
+ return tslib_1.__asyncGenerator(this, arguments, function* counter_1() {
15
15
  for (let i = 0; i < opts.to; ++i) {
16
16
  console.log(i);
17
- yield yield (0, tslib_1.__await)({ success: false });
18
- yield (0, tslib_1.__await)(wait());
17
+ yield yield tslib_1.__await({ success: false });
18
+ yield tslib_1.__await(wait());
19
19
  }
20
- yield yield (0, tslib_1.__await)({ success: opts.result });
20
+ yield yield tslib_1.__await({ success: opts.result });
21
21
  });
22
22
  }
23
23
  exports.default = counter;
24
24
  function batchCounter(taskGraph, inputs) {
25
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
25
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
26
26
  const result = {};
27
27
  const results = yield Promise.all(taskGraph.roots
28
28
  .map((rootTaskId) => [rootTaskId, inputs[rootTaskId]])
29
- .map(([taskId, options]) => (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
29
+ .map(([taskId, options]) => tslib_1.__awaiter(this, void 0, void 0, function* () {
30
30
  let terminalOutput = '';
31
31
  for (let i = 0; i < options.to; ++i) {
32
32
  console.log(i);
@@ -1 +1 @@
1
- {"version":3,"file":"counter.impl.js","sourceRoot":"","sources":["../../../../../../packages/workspace/src/executors/counter/counter.impl.ts"],"names":[],"mappings":";;;;AAAA,2BAAyB;AAEzB,SAAe,IAAI;;QACjB,OAAO,IAAI,OAAO,CAAO,CAAC,GAAG,EAAE,EAAE;YAC/B,UAAU,CAAC,GAAG,EAAE,CAAC,GAAG,EAAE,EAAE,IAAI,CAAC,CAAC;QAChC,CAAC,CAAC,CAAC;IACL,CAAC;CAAA;AAOD,SAA+B,OAAO,CAAC,IAAoB;;QACzD,KAAK,IAAI,CAAC,GAAG,CAAC,EAAE,CAAC,GAAG,IAAI,CAAC,EAAE,EAAE,EAAE,CAAC,EAAE;YAChC,OAAO,CAAC,GAAG,CAAC,CAAC,CAAC,CAAC;YACf,iCAAM,EAAE,OAAO,EAAE,KAAK,EAAE,CAAA,CAAC;YACzB,2BAAM,IAAI,EAAE,CAAA,CAAC;SACd;QACD,iCAAM,EAAE,OAAO,EAAE,IAAI,CAAC,MAAM,EAAE,CAAA,CAAC;IACjC,CAAC;CAAA;AAPD,0BAOC;AAED,SAAsB,YAAY,CAChC,SAAS,EACT,MAAsC;;QAEtC,MAAM,MAAM,GACV,EAAE,CAAC;QAEL,MAAM,OAAO,GAAG,MAAM,OAAO,CAAC,GAAG,CAC9B,SAAS,CAAC,KAAkB;aAC1B,GAAG,CAAC,CAAC,UAAU,EAAE,EAAE,CAAC,CAAC,UAAU,EAAE,MAAM,CAAC,UAAU,CAAC,CAAU,CAAC;aAC9D,GAAG,CAAC,CAAO,CAAC,MAAM,EAAE,OAAO,CAAC,EAAE,EAAE;YAC/B,IAAI,cAAc,GAAG,EAAE,CAAC;YACxB,KAAK,IAAI,CAAC,GAAG,CAAC,EAAE,CAAC,GAAG,OAAO,CAAC,EAAE,EAAE,EAAE,CAAC,EAAE;gBACnC,OAAO,CAAC,GAAG,CAAC,CAAC,CAAC,CAAC;gBACf,cAAc,IAAI,CAAC,GAAG,QAAG,CAAC;gBAC1B,MAAM,IAAI,EAAE,CAAC;aACd;YAED,OAAO,CAAC,MAAM,EAAE,OAAO,CAAC,MAAM,EAAE,cAAc,CAAU,CAAC;QAC3D,CAAC,CAAA,CAAC,CACL,CAAC;QAEF,KAAK,MAAM,CAAC,MAAM,EAAE,UAAU,EAAE,cAAc,CAAC,IAAI,OAAO,EAAE;YAC1D,MAAM,CAAC,MAAM,CAAC,GAAG;gBACf,OAAO,EAAE,UAAU;gBACnB,cAAc;aACf,CAAC;SACH;QAED,OAAO,MAAM,CAAC;IAChB,CAAC;CAAA;AA9BD,oCA8BC"}
1
+ {"version":3,"file":"counter.impl.js","sourceRoot":"","sources":["../../../../../../packages/workspace/src/executors/counter/counter.impl.ts"],"names":[],"mappings":";;;;AAAA,2BAAyB;AAEzB,SAAe,IAAI;;QACjB,OAAO,IAAI,OAAO,CAAO,CAAC,GAAG,EAAE,EAAE;YAC/B,UAAU,CAAC,GAAG,EAAE,CAAC,GAAG,EAAE,EAAE,IAAI,CAAC,CAAC;QAChC,CAAC,CAAC,CAAC;IACL,CAAC;CAAA;AAOD,SAA+B,OAAO,CAAC,IAAoB;;QACzD,KAAK,IAAI,CAAC,GAAG,CAAC,EAAE,CAAC,GAAG,IAAI,CAAC,EAAE,EAAE,EAAE,CAAC,EAAE;YAChC,OAAO,CAAC,GAAG,CAAC,CAAC,CAAC,CAAC;YACf,4BAAM,EAAE,OAAO,EAAE,KAAK,EAAE,CAAA,CAAC;YACzB,sBAAM,IAAI,EAAE,CAAA,CAAC;SACd;QACD,4BAAM,EAAE,OAAO,EAAE,IAAI,CAAC,MAAM,EAAE,CAAA,CAAC;IACjC,CAAC;CAAA;AAPD,0BAOC;AAED,SAAsB,YAAY,CAChC,SAAS,EACT,MAAsC;;QAEtC,MAAM,MAAM,GACV,EAAE,CAAC;QAEL,MAAM,OAAO,GAAG,MAAM,OAAO,CAAC,GAAG,CAC9B,SAAS,CAAC,KAAkB;aAC1B,GAAG,CAAC,CAAC,UAAU,EAAE,EAAE,CAAC,CAAC,UAAU,EAAE,MAAM,CAAC,UAAU,CAAC,CAAU,CAAC;aAC9D,GAAG,CAAC,CAAO,CAAC,MAAM,EAAE,OAAO,CAAC,EAAE,EAAE;YAC/B,IAAI,cAAc,GAAG,EAAE,CAAC;YACxB,KAAK,IAAI,CAAC,GAAG,CAAC,EAAE,CAAC,GAAG,OAAO,CAAC,EAAE,EAAE,EAAE,CAAC,EAAE;gBACnC,OAAO,CAAC,GAAG,CAAC,CAAC,CAAC,CAAC;gBACf,cAAc,IAAI,CAAC,GAAG,QAAG,CAAC;gBAC1B,MAAM,IAAI,EAAE,CAAC;aACd;YAED,OAAO,CAAC,MAAM,EAAE,OAAO,CAAC,MAAM,EAAE,cAAc,CAAU,CAAC;QAC3D,CAAC,CAAA,CAAC,CACL,CAAC;QAEF,KAAK,MAAM,CAAC,MAAM,EAAE,UAAU,EAAE,cAAc,CAAC,IAAI,OAAO,EAAE;YAC1D,MAAM,CAAC,MAAM,CAAC,GAAG;gBACf,OAAO,EAAE,UAAU;gBACnB,cAAc;aACf,CAAC;SACH;QAED,OAAO,MAAM,CAAC;IAChB,CAAC;CAAA;AA9BD,oCA8BC"}
@@ -8,7 +8,7 @@ const yargsParser = require("yargs-parser");
8
8
  const npm_run_path_1 = require("npm-run-path");
9
9
  exports.LARGE_BUFFER = 1024 * 1000000;
10
10
  function loadEnvVars(path) {
11
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
11
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
12
12
  if (path) {
13
13
  const result = (yield Promise.resolve().then(() => require('dotenv'))).config({ path });
14
14
  if (result.error) {
@@ -35,7 +35,7 @@ const propKeys = [
35
35
  'outputPath',
36
36
  ];
37
37
  function default_1(options, context) {
38
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
38
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
39
39
  yield loadEnvVars(options.envFile);
40
40
  const normalized = normalizeOptions(options);
41
41
  if (options.readyWhen && !options.parallel) {
@@ -57,7 +57,7 @@ function default_1(options, context) {
57
57
  }
58
58
  exports.default = default_1;
59
59
  function runInParallel(options, context) {
60
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
60
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
61
61
  const procs = options.commands.map((c) => createProcess(c.command, options.readyWhen, options.color, calculateCwd(options.cwd, context)).then((result) => ({
62
62
  result,
63
63
  command: c.command,
@@ -103,7 +103,7 @@ function normalizeOptions(options) {
103
103
  return options;
104
104
  }
105
105
  function runSerially(options, context) {
106
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
106
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
107
107
  for (const c of options.commands) {
108
108
  createSyncProcess(c.command, options.color, calculateCwd(options.cwd, context));
109
109
  }
@@ -5,7 +5,7 @@ const child_process_1 = require("child_process");
5
5
  const devkit_1 = require("@nrwl/devkit");
6
6
  const path = require("path");
7
7
  function default_1(options, context) {
8
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
8
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
9
9
  const pm = (0, devkit_1.getPackageManagerCommand)();
10
10
  const script = options.script;
11
11
  delete options.script;
@@ -8,7 +8,7 @@ const devkit_1 = require("@nrwl/devkit");
8
8
  const get_project_configuration_path_1 = require("./utils/get-project-configuration-path");
9
9
  exports.SCHEMA_OPTIONS_ARE_MUTUALLY_EXCLUSIVE = '--project and --all are mutually exclusive';
10
10
  function validateSchema(schema) {
11
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
11
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
12
12
  if (schema.project && schema.all) {
13
13
  throw exports.SCHEMA_OPTIONS_ARE_MUTUALLY_EXCLUSIVE;
14
14
  }
@@ -25,7 +25,7 @@ function validateSchema(schema) {
25
25
  }
26
26
  exports.validateSchema = validateSchema;
27
27
  function convertToNxProjectGenerator(host, schema) {
28
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
28
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
29
29
  const workspace = (0, devkit_1.readWorkspaceConfiguration)(host);
30
30
  if (workspace.version < 2) {
31
31
  devkit_1.logger.error(`
@@ -93,7 +93,7 @@ function createFiles(tree, options) {
93
93
  updateTsConfig(tree, options);
94
94
  }
95
95
  function addJest(tree, options) {
96
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
96
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
97
97
  return yield jestProjectGenerator(tree, {
98
98
  project: options.name,
99
99
  setupFile: 'none',
@@ -106,7 +106,7 @@ function addJest(tree, options) {
106
106
  });
107
107
  }
108
108
  function libraryGenerator(tree, schema) {
109
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
109
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
110
110
  const options = normalizeOptions(tree, schema);
111
111
  createFiles(tree, options);
112
112
  if (!options.skipTsConfig) {
@@ -19,7 +19,7 @@ const update_readme_1 = require("./lib/update-readme");
19
19
  const update_package_json_1 = require("./lib/update-package-json");
20
20
  const normalize_schema_1 = require("./lib/normalize-schema");
21
21
  function moveGenerator(tree, rawSchema) {
22
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
22
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
23
23
  const projectConfig = (0, devkit_1.readProjectConfiguration)(tree, rawSchema.projectName);
24
24
  (0, check_destination_1.checkDestination)(tree, rawSchema, projectConfig);
25
25
  const schema = (0, normalize_schema_1.normalizeSchema)(tree, rawSchema, projectConfig);
@@ -77,7 +77,7 @@ function generatePreset(host, opts) {
77
77
  }
78
78
  }
79
79
  function initializeGitRepo(host, rootDirectory, options) {
80
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
80
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
81
81
  const execute = (args, ignoreErrorStream = false) => {
82
82
  const outputStream = 'ignore';
83
83
  const errorStream = ignoreErrorStream ? 'ignore' : process.stderr;
@@ -134,7 +134,7 @@ function initializeGitRepo(host, rootDirectory, options) {
134
134
  });
135
135
  }
136
136
  function newGenerator(host, options) {
137
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
137
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
138
138
  if (options.skipInstall &&
139
139
  options.preset !== presets_1.Preset.Apps &&
140
140
  options.preset !== presets_1.Preset.Core &&
@@ -160,7 +160,7 @@ function newGenerator(host, options) {
160
160
  addPresetDependencies(host, options);
161
161
  addCloudDependencies(host, options);
162
162
  yield (0, devkit_1.formatFiles)(host);
163
- return () => (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
163
+ return () => tslib_1.__awaiter(this, void 0, void 0, function* () {
164
164
  (0, devkit_1.installPackagesTask)(host, false, options.directory, options.packageManager);
165
165
  yield generatePreset(host, options);
166
166
  if (!options.skipGit) {
@@ -20,7 +20,7 @@ function addFiles(projectRoot, tree, npmScope, options) {
20
20
  (0, devkit_1.generateFiles)(tree, (0, path_1.join)(__dirname, './files'), projectRoot, {});
21
21
  }
22
22
  function npmPackageGenerator(tree, options) {
23
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
23
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
24
24
  options = normalizeOptions(options);
25
25
  const { libsDir, npmScope } = (0, devkit_1.getWorkspaceLayout)(tree);
26
26
  const workspaceFile = (0, devkit_1.getWorkspacePath)(tree);
@@ -9,7 +9,7 @@ const insert_statement_1 = require("../utils/insert-statement");
9
9
  const presets_1 = require("../utils/presets");
10
10
  const path_1 = require("path");
11
11
  function presetGenerator(tree, options) {
12
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
12
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
13
13
  options = normalizeOptions(options);
14
14
  yield createPreset(tree, options);
15
15
  yield (0, devkit_1.formatFiles)(tree);
@@ -22,7 +22,7 @@ exports.presetGenerator = presetGenerator;
22
22
  exports.presetSchematic = (0, devkit_1.convertNxGenerator)(presetGenerator);
23
23
  exports.default = presetGenerator;
24
24
  function createPreset(tree, options) {
25
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
25
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
26
26
  if (options.preset === presets_1.Preset.Empty ||
27
27
  options.preset === presets_1.Preset.Apps ||
28
28
  options.preset === presets_1.Preset.TS) {
@@ -9,7 +9,7 @@ const devkit_1 = require("@nrwl/devkit");
9
9
  * Throws an error if the project is in use, unless the `--forceRemove` option is used.
10
10
  */
11
11
  function checkDependencies(_, schema) {
12
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
12
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
13
13
  if (schema.forceRemove) {
14
14
  return;
15
15
  }
@@ -10,7 +10,7 @@ const update_tsconfig_1 = require("./lib/update-tsconfig");
10
10
  const remove_project_config_1 = require("./lib/remove-project-config");
11
11
  const update_jest_config_1 = require("./lib/update-jest-config");
12
12
  function removeGenerator(tree, schema) {
13
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
13
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
14
14
  const project = (0, devkit_1.readProjectConfiguration)(tree, schema.projectName);
15
15
  yield (0, check_dependencies_1.checkDependencies)(tree, schema);
16
16
  (0, check_targets_1.checkTargets)(tree, schema, project);
@@ -4,7 +4,7 @@ exports.runCommandsSchematic = exports.runCommandsGenerator = void 0;
4
4
  const tslib_1 = require("tslib");
5
5
  const devkit_1 = require("@nrwl/devkit");
6
6
  function runCommandsGenerator(host, schema) {
7
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
7
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
8
8
  const project = (0, devkit_1.readProjectConfiguration)(host, schema.project);
9
9
  project.targets = project.targets || {};
10
10
  project.targets[schema.name] = {
@@ -93,7 +93,7 @@ function addNpmScripts(host, options) {
93
93
  }
94
94
  }
95
95
  function workspaceGenerator(host, options) {
96
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
96
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
97
97
  if (!options.name) {
98
98
  throw new Error(`Invalid options, "name" is required.`);
99
99
  }
@@ -4,7 +4,7 @@ const tslib_1 = require("tslib");
4
4
  const devkit_1 = require("@nrwl/devkit");
5
5
  const versions_1 = require("../../utils/versions");
6
6
  function default_1(host, schema) {
7
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
7
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
8
8
  const options = normalizeOptions(schema);
9
9
  (0, devkit_1.generateFiles)(host, (0, devkit_1.joinPathFragments)(__dirname, 'files'), (0, devkit_1.joinPathFragments)('tools/generators', schema.name), options);
10
10
  const installTask = (0, devkit_1.addDependenciesToPackageJson)(host, {}, {
@@ -6,7 +6,7 @@ const devkit_1 = require("@nrwl/devkit");
6
6
  const output_1 = require("../../utilities/output");
7
7
  function setTargetDependencies(host) {
8
8
  var _a, _b, _c, _d, _e, _f, _g;
9
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
9
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
10
10
  const config = (0, devkit_1.readWorkspaceConfiguration)(host);
11
11
  const strictlyOrderedTargets = ((_c = (_b = (_a = config.tasksRunnerOptions) === null || _a === void 0 ? void 0 : _a['default']) === null || _b === void 0 ? void 0 : _b.options) === null || _c === void 0 ? void 0 : _c.strictlyOrderedTargets) || ['build'];
12
12
  (_f = (_e = (_d = config.tasksRunnerOptions) === null || _d === void 0 ? void 0 : _d['default']) === null || _e === void 0 ? void 0 : _e.options) === null || _f === void 0 ? true : delete _f.strictlyOrderedTargets;
@@ -3,7 +3,7 @@ Object.defineProperty(exports, "__esModule", { value: true });
3
3
  const tslib_1 = require("tslib");
4
4
  const devkit_1 = require("@nrwl/devkit");
5
5
  function update(host) {
6
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
6
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
7
7
  const nxJson = (0, devkit_1.readJson)(host, 'nx.json');
8
8
  // updateProjectConfiguration automatically saves the project opts into workspace/project.json
9
9
  if (nxJson.projects) {
@@ -7,7 +7,7 @@ const output_1 = require("../../utilities/output");
7
7
  function setDefaultBaseIfNotSet(host) {
8
8
  var _a, _b, _c;
9
9
  var _d;
10
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
10
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
11
11
  const config = (0, devkit_1.readWorkspaceConfiguration)(host);
12
12
  if (!((_a = config.affected) === null || _a === void 0 ? void 0 : _a.defaultBase)) {
13
13
  (_b = config.affected) !== null && _b !== void 0 ? _b : (config.affected = {});
@@ -5,7 +5,7 @@ const tslib_1 = require("tslib");
5
5
  const devkit_1 = require("@nrwl/devkit");
6
6
  function setParallelDefault(host) {
7
7
  var _a, _b;
8
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
8
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
9
9
  const config = (0, devkit_1.readWorkspaceConfiguration)(host);
10
10
  const defaultTaskRunnerOptions = (_b = (_a = config.tasksRunnerOptions) === null || _a === void 0 ? void 0 : _a['default']) === null || _b === void 0 ? void 0 : _b.options;
11
11
  if (defaultTaskRunnerOptions) {
@@ -5,7 +5,7 @@ const tslib_1 = require("tslib");
5
5
  const devkit_1 = require("@nrwl/devkit");
6
6
  const versions_1 = require("../../utils/versions");
7
7
  function updateTscExecutorLocation(host) {
8
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
8
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
9
9
  const projects = (0, devkit_1.getProjects)(host);
10
10
  let used = false;
11
11
  for (const [project, projectConfig] of projects.entries()) {
@@ -0,0 +1 @@
1
+ export { CachedResult, TaskWithCachedResult, Cache, } from 'nx/src/tasks-runner/cache';
@@ -0,0 +1,6 @@
1
+ "use strict";
2
+ Object.defineProperty(exports, "__esModule", { value: true });
3
+ exports.Cache = void 0;
4
+ var cache_1 = require("nx/src/tasks-runner/cache");
5
+ Object.defineProperty(exports, "Cache", { enumerable: true, get: function () { return cache_1.Cache; } });
6
+ //# sourceMappingURL=cache.js.map
@@ -0,0 +1 @@
1
+ {"version":3,"file":"cache.js","sourceRoot":"","sources":["../../../../../packages/workspace/src/tasks-runner/cache.ts"],"names":[],"mappings":";;;AAAA,mDAImC;AADjC,8FAAA,KAAK,OAAA"}
@@ -0,0 +1 @@
1
+ export * from 'nx/src/tasks-runner/default-tasks-runner';
@@ -0,0 +1,5 @@
1
+ "use strict";
2
+ Object.defineProperty(exports, "__esModule", { value: true });
3
+ const tslib_1 = require("tslib");
4
+ tslib_1.__exportStar(require("nx/src/tasks-runner/default-tasks-runner"), exports);
5
+ //# sourceMappingURL=default-tasks-runner.js.map
@@ -0,0 +1 @@
1
+ {"version":3,"file":"default-tasks-runner.js","sourceRoot":"","sources":["../../../../../packages/workspace/src/tasks-runner/default-tasks-runner.ts"],"names":[],"mappings":";;;AAAA,mFAAyD"}
@@ -0,0 +1 @@
1
+ export { CompositeLifeCycle, TaskMetadata, TaskResult, LifeCycle, } from 'nx/src/tasks-runner/life-cycle';
@@ -0,0 +1,6 @@
1
+ "use strict";
2
+ Object.defineProperty(exports, "__esModule", { value: true });
3
+ exports.CompositeLifeCycle = void 0;
4
+ var life_cycle_1 = require("nx/src/tasks-runner/life-cycle");
5
+ Object.defineProperty(exports, "CompositeLifeCycle", { enumerable: true, get: function () { return life_cycle_1.CompositeLifeCycle; } });
6
+ //# sourceMappingURL=life-cycle.js.map
@@ -0,0 +1 @@
1
+ {"version":3,"file":"life-cycle.js","sourceRoot":"","sources":["../../../../../packages/workspace/src/tasks-runner/life-cycle.ts"],"names":[],"mappings":";;;AAAA,6DAKwC;AAJtC,gHAAA,kBAAkB,OAAA"}
@@ -0,0 +1 @@
1
+ export * from 'nx/src/tasks-runner/life-cycles/dynamic-run-many-terminal-output-life-cycle';
@@ -0,0 +1,5 @@
1
+ "use strict";
2
+ Object.defineProperty(exports, "__esModule", { value: true });
3
+ const tslib_1 = require("tslib");
4
+ tslib_1.__exportStar(require("nx/src/tasks-runner/life-cycles/dynamic-run-many-terminal-output-life-cycle"), exports);
5
+ //# sourceMappingURL=dynamic-run-many-terminal-output-life-cycle.js.map
@@ -0,0 +1 @@
1
+ {"version":3,"file":"dynamic-run-many-terminal-output-life-cycle.js","sourceRoot":"","sources":["../../../../../../packages/workspace/src/tasks-runner/life-cycles/dynamic-run-many-terminal-output-life-cycle.ts"],"names":[],"mappings":";;;AAAA,sHAA4F"}
@@ -0,0 +1 @@
1
+ export { tasksRunnerV2, DefaultTasksRunnerOptions, RemoteCache, default, } from 'nx/src/tasks-runner/tasks-runner-v2';
@@ -0,0 +1,7 @@
1
+ "use strict";
2
+ Object.defineProperty(exports, "__esModule", { value: true });
3
+ exports.default = exports.tasksRunnerV2 = void 0;
4
+ var tasks_runner_v2_1 = require("nx/src/tasks-runner/tasks-runner-v2");
5
+ Object.defineProperty(exports, "tasksRunnerV2", { enumerable: true, get: function () { return tasks_runner_v2_1.tasksRunnerV2; } });
6
+ Object.defineProperty(exports, "default", { enumerable: true, get: function () { return tasks_runner_v2_1.default; } });
7
+ //# sourceMappingURL=tasks-runner-v2.js.map
@@ -0,0 +1 @@
1
+ {"version":3,"file":"tasks-runner-v2.js","sourceRoot":"","sources":["../../../../../packages/workspace/src/tasks-runner/tasks-runner-v2.ts"],"names":[],"mappings":";;;AAAA,uEAK6C;AAJ3C,gHAAA,aAAa,OAAA;AAGb,0GAAA,OAAO,OAAA"}
@@ -0,0 +1 @@
1
+ export * from 'nx/src/tasks-runner/tasks-runner';
@@ -0,0 +1,5 @@
1
+ "use strict";
2
+ Object.defineProperty(exports, "__esModule", { value: true });
3
+ const tslib_1 = require("tslib");
4
+ tslib_1.__exportStar(require("nx/src/tasks-runner/tasks-runner"), exports);
5
+ //# sourceMappingURL=tasks-runner.js.map
@@ -0,0 +1 @@
1
+ {"version":3,"file":"tasks-runner.js","sourceRoot":"","sources":["../../../../../packages/workspace/src/tasks-runner/tasks-runner.ts"],"names":[],"mappings":";;;AAAA,2EAAiD"}
@@ -0,0 +1 @@
1
+ export * from 'nx/src/tasks-runner/utils';
@@ -0,0 +1,5 @@
1
+ "use strict";
2
+ Object.defineProperty(exports, "__esModule", { value: true });
3
+ const tslib_1 = require("tslib");
4
+ tslib_1.__exportStar(require("nx/src/tasks-runner/utils"), exports);
5
+ //# sourceMappingURL=utils.js.map
@@ -0,0 +1 @@
1
+ {"version":3,"file":"utils.js","sourceRoot":"","sources":["../../../../../packages/workspace/src/tasks-runner/utils.ts"],"names":[],"mappings":";;;AAAA,oEAA0C"}
@@ -1,5 +1,5 @@
1
1
  "use strict";
2
2
  Object.defineProperty(exports, "__esModule", { value: true });
3
3
  const tslib_1 = require("tslib");
4
- (0, tslib_1.__exportStar)(require("nx/src/utils/output"), exports);
4
+ tslib_1.__exportStar(require("nx/src/utils/output"), exports);
5
5
  //# sourceMappingURL=output.js.map
@@ -1 +1 @@
1
- {"version":3,"file":"output.js","sourceRoot":"","sources":["../../../../../packages/workspace/src/utilities/output.ts"],"names":[],"mappings":";;;AAAA,mEAAoC"}
1
+ {"version":3,"file":"output.js","sourceRoot":"","sources":["../../../../../packages/workspace/src/utilities/output.ts"],"names":[],"mappings":";;;AAAA,8DAAoC"}
@@ -7,7 +7,7 @@ const chalk = require("chalk");
7
7
  const output_1 = require("../output");
8
8
  const COMMUNITY_PLUGINS_JSON_URL = 'https://raw.githubusercontent.com/nrwl/nx/master/community/approved-plugins.json';
9
9
  function fetchCommunityPlugins() {
10
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
10
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
11
11
  return new Promise((resolve, reject) => {
12
12
  const req = (0, https_1.get)(COMMUNITY_PLUGINS_JSON_URL, (res) => {
13
13
  if (res.statusCode < 200 || res.statusCode >= 300) {
@@ -8,7 +8,7 @@ try {
8
8
  }
9
9
  catch (_a) { }
10
10
  function resolveUserExistingPrettierConfig() {
11
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
11
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
12
12
  if (!prettier) {
13
13
  return null;
14
14
  }
@@ -3,7 +3,7 @@ Object.defineProperty(exports, "__esModule", { value: true });
3
3
  exports.runTasksInSerial = void 0;
4
4
  const tslib_1 = require("tslib");
5
5
  function runTasksInSerial(...tasks) {
6
- return () => (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
6
+ return () => tslib_1.__awaiter(this, void 0, void 0, function* () {
7
7
  for (const task of tasks) {
8
8
  yield task();
9
9
  }
@@ -35,7 +35,7 @@ function compileTypeScriptWatcher(options, callback) {
35
35
  originalAfterProgramCreate(builderProgram);
36
36
  };
37
37
  const originalOnWatchStatusChange = host.onWatchStatusChange;
38
- host.onWatchStatusChange = (a, b, c, d) => (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
38
+ host.onWatchStatusChange = (a, b, c, d) => tslib_1.__awaiter(this, void 0, void 0, function* () {
39
39
  originalOnWatchStatusChange === null || originalOnWatchStatusChange === void 0 ? void 0 : originalOnWatchStatusChange(a, b, c, d);
40
40
  yield (callback === null || callback === void 0 ? void 0 : callback(a, b, c, d));
41
41
  });
@@ -1 +1 @@
1
- {"version":3,"file":"compilation.js","sourceRoot":"","sources":["../../../../../../packages/workspace/src/utilities/typescript/compilation.ts"],"names":[],"mappings":";;;;AAAA,yCAAsC;AACtC,uCAAsC;AACtC,iCAAiC;AAEjC,8CAA6C;AAoB7C,SAAgB,iBAAiB,CAAC,OAAqC;IAGrE,MAAM,iBAAiB,GAAG,gBAAgB,CAAC,OAAO,CAAC,CAAC;IACpD,MAAM,QAAQ,GAAG,qBAAqB,CAAC,iBAAiB,CAAC,CAAC;IAE1D,IAAI,iBAAiB,CAAC,gBAAgB,EAAE;QACtC,IAAA,qBAAU,EAAC,iBAAiB,CAAC,UAAU,CAAC,CAAC;KAC1C;IAED,OAAO,aAAa,CAAC,QAAQ,EAAE,iBAAiB,CAAC,CAAC;AACpD,CAAC;AAXD,8CAWC;AAED,SAAgB,wBAAwB,CACtC,OAAqC,EACrC,QAKyB;IAEzB,MAAM,iBAAiB,GAAG,gBAAgB,CAAC,OAAO,CAAC,CAAC;IACpD,MAAM,QAAQ,GAAG,qBAAqB,CAAC,iBAAiB,CAAC,CAAC;IAE1D,IAAI,iBAAiB,CAAC,gBAAgB,EAAE;QACtC,IAAA,qBAAU,EAAC,iBAAiB,CAAC,UAAU,CAAC,CAAC;KAC1C;IAED,MAAM,IAAI,GAAG,EAAE,CAAC,uBAAuB,CACrC,QAAQ,CAAC,SAAS,EAClB,QAAQ,CAAC,OAAO,EAChB,EAAE,CAAC,GAAG,CACP,CAAC;IAEF,MAAM,0BAA0B,GAAG,IAAI,CAAC,kBAAkB,CAAC;IAC3D,IAAI,CAAC,kBAAkB,GAAG,CAAC,cAAc,EAAE,EAAE;QAC3C,MAAM,mBAAmB,GAAG,cAAc,CAAC,IAAI,CAAC;QAChD,cAAc,CAAC,IAAI,GAAG,CACpB,gBAAgB,EAChB,SAAS,EACT,iBAAiB,EACjB,gBAAgB,EAChB,kBAAkB,EAClB,EAAE;;YACF,MAAM,0BAA0B,GAAG,MAAA,OAAO,CAAC,qBAAqB,+CAA7B,OAAO,EACxC,cAAc,CAAC,UAAU,EAAE,CAC5B,CAAC;YAEF,MAAM,wBAAwB,GAAG,uBAAuB,CACtD,kBAAkB,EAClB,0BAA0B,CAC3B,CAAC;YAEF,OAAO,mBAAmB,CACxB,gBAAgB,EAChB,SAAS,EACT,iBAAiB,EACjB,gBAAgB,EAChB,wBAAwB,CACzB,CAAC;QACJ,CAAC,CAAC;QAEF,IAAI,0BAA0B;YAAE,0BAA0B,CAAC,cAAc,CAAC,CAAC;IAC7E,CAAC,CAAC;IAEF,MAAM,2BAA2B,GAAG,IAAI,CAAC,mBAAmB,CAAC;IAC7D,IAAI,CAAC,mBAAmB,GAAG,CAAO,CAAC,EAAE,CAAC,EAAE,CAAC,EAAE,CAAC,EAAE,EAAE;QAC9C,2BAA2B,aAA3B,2BAA2B,uBAA3B,2BAA2B,CAAG,CAAC,EAAE,CAAC,EAAE,CAAC,EAAE,CAAC,CAAC,CAAC;QAC1C,MAAM,CAAA,QAAQ,aAAR,QAAQ,uBAAR,QAAQ,CAAG,CAAC,EAAE,CAAC,EAAE,CAAC,EAAE,CAAC,CAAC,CAAA,CAAC;IAC/B,CAAC,CAAA,CAAC;IAEF,OAAO,EAAE,CAAC,kBAAkB,CAAC,IAAI,CAAC,CAAC;AACrC,CAAC;AA5DD,4DA4DC;AAED,SAAS,uBAAuB,CAC9B,0BAA0D,EAC1D,0BAA0D;IAE1D,IAAI,CAAC,0BAA0B;QAAE,OAAO,0BAA0B,CAAC;IAEnE,MAAM,wBAAwB,GAAuB,EAAE,CAAC;IACxD,IAAI,0BAA0B,CAAC,MAAM,EAAE;QACrC,wBAAwB,CAAC,MAAM,GAAG,CAAA,0BAA0B,aAA1B,0BAA0B,uBAA1B,0BAA0B,CAAE,MAAM;YAClE,CAAC,CAAC;gBACE,GAAG,0BAA0B,CAAC,MAAM;gBACpC,GAAG,0BAA0B,CAAC,MAAM;aACrC;YACH,CAAC,CAAC,0BAA0B,CAAC,MAAM,CAAC;KACvC;IAED,IAAI,0BAA0B,CAAC,KAAK,EAAE;QACpC,wBAAwB,CAAC,KAAK,GAAG,CAAA,0BAA0B,aAA1B,0BAA0B,uBAA1B,0BAA0B,CAAE,KAAK;YAChE,CAAC,CAAC;gBACE,GAAG,0BAA0B,CAAC,KAAK;gBACnC,GAAG,0BAA0B,CAAC,KAAK;aACpC;YACH,CAAC,CAAC,0BAA0B,CAAC,KAAK,CAAC;KACtC;IAED,IAAI,0BAA0B,CAAC,iBAAiB,EAAE;QAChD,wBAAwB,CAAC,iBAAiB;YACxC,CAAA,0BAA0B,aAA1B,0BAA0B,uBAA1B,0BAA0B,CAAE,iBAAiB;gBAC3C,CAAC,CAAC;oBACE,GAAG,0BAA0B,CAAC,iBAAiB;oBAC/C,GAAG,0BAA0B,CAAC,iBAAiB;iBAChD;gBACH,CAAC,CAAC,0BAA0B,CAAC,iBAAiB,CAAC;KACpD;IAED,OAAO,wBAAwB,CAAC;AAClC,CAAC;AAED,SAAS,qBAAqB,CAAC,OAAqC;IAClE,MAAM,QAAQ,GAAG,IAAA,yBAAY,EAAC,OAAO,CAAC,QAAQ,CAAC,CAAC;IAChD,QAAQ,CAAC,OAAO,CAAC,MAAM,GAAG,OAAO,CAAC,UAAU,CAAC;IAC7C,QAAQ,CAAC,OAAO,CAAC,aAAa,GAAG,IAAI,CAAC;IACtC,QAAQ,CAAC,OAAO,CAAC,OAAO,GAAG,OAAO,CAAC,OAAO,CAAC;IAC3C,OAAO,QAAQ,CAAC;AAClB,CAAC;AAED,SAAS,aAAa,CACpB,QAA8B,EAC9B,EAAE,WAAW,EAAE,qBAAqB,EAAgC;IAEpE,MAAM,IAAI,GAAG,EAAE,CAAC,kBAAkB,CAAC,QAAQ,CAAC,OAAO,CAAC,CAAC;IACrD,MAAM,OAAO,GAAG,EAAE,CAAC,aAAa,CAAC;QAC/B,SAAS,EAAE,QAAQ,CAAC,SAAS;QAC7B,OAAO,EAAE,QAAQ,CAAC,OAAO;QACzB,IAAI;KACL,CAAC,CAAC;IACH,eAAM,CAAC,IAAI,CAAC,2CAA2C,WAAW,MAAM,CAAC,CAAC;IAC1E,MAAM,OAAO,GAAG,OAAO,CAAC,IAAI,CAC1B,SAAS,EACT,SAAS,EACT,SAAS,EACT,SAAS,EACT,qBAAqB,aAArB,qBAAqB,uBAArB,qBAAqB,CAAG,OAAO,CAAC,CACjC,CAAC;IACF,IAAI,OAAO,CAAC,WAAW,EAAE;QACvB,MAAM,WAAW,GAAG,EAAE,CAAC,oCAAoC,CACzD,OAAO,CAAC,WAAW,EACnB;YACE,mBAAmB,EAAE,GAAG,EAAE,CAAC,EAAE,CAAC,GAAG,CAAC,mBAAmB,EAAE;YACvD,UAAU,EAAE,GAAG,EAAE,CAAC,EAAE,CAAC,GAAG,CAAC,OAAO;YAChC,oBAAoB,EAAE,CAAC,IAAI,EAAE,EAAE,CAAC,IAAI;SACrC,CACF,CAAC;QACF,eAAM,CAAC,KAAK,CAAC,WAAW,CAAC,CAAC;QAC1B,OAAO,EAAE,OAAO,EAAE,KAAK,EAAE,CAAC;KAC3B;SAAM;QACL,eAAM,CAAC,IAAI,CACT,gDAAgD,WAAW,IAAI,CAChE,CAAC;QACF,OAAO,EAAE,OAAO,EAAE,IAAI,EAAE,CAAC;KAC1B;AACH,CAAC;AAED,SAAS,gBAAgB,CACvB,OAAqC;;IAErC,uCACK,OAAO,KACV,gBAAgB,EAAE,MAAA,OAAO,CAAC,gBAAgB,mCAAI,IAAI,EAClD,OAAO,EAAE,MAAA,OAAO,CAAC,OAAO,mCAAI,OAAO,CAAC,WAAW,IAC/C;AACJ,CAAC"}
1
+ {"version":3,"file":"compilation.js","sourceRoot":"","sources":["../../../../../../packages/workspace/src/utilities/typescript/compilation.ts"],"names":[],"mappings":";;;;AAAA,yCAAsC;AACtC,uCAAsC;AACtC,iCAAiC;AAEjC,8CAA6C;AAoB7C,SAAgB,iBAAiB,CAAC,OAAqC;IAGrE,MAAM,iBAAiB,GAAG,gBAAgB,CAAC,OAAO,CAAC,CAAC;IACpD,MAAM,QAAQ,GAAG,qBAAqB,CAAC,iBAAiB,CAAC,CAAC;IAE1D,IAAI,iBAAiB,CAAC,gBAAgB,EAAE;QACtC,IAAA,qBAAU,EAAC,iBAAiB,CAAC,UAAU,CAAC,CAAC;KAC1C;IAED,OAAO,aAAa,CAAC,QAAQ,EAAE,iBAAiB,CAAC,CAAC;AACpD,CAAC;AAXD,8CAWC;AAED,SAAgB,wBAAwB,CACtC,OAAqC,EACrC,QAKyB;IAEzB,MAAM,iBAAiB,GAAG,gBAAgB,CAAC,OAAO,CAAC,CAAC;IACpD,MAAM,QAAQ,GAAG,qBAAqB,CAAC,iBAAiB,CAAC,CAAC;IAE1D,IAAI,iBAAiB,CAAC,gBAAgB,EAAE;QACtC,IAAA,qBAAU,EAAC,iBAAiB,CAAC,UAAU,CAAC,CAAC;KAC1C;IAED,MAAM,IAAI,GAAG,EAAE,CAAC,uBAAuB,CACrC,QAAQ,CAAC,SAAS,EAClB,QAAQ,CAAC,OAAO,EAChB,EAAE,CAAC,GAAG,CACP,CAAC;IAEF,MAAM,0BAA0B,GAAG,IAAI,CAAC,kBAAkB,CAAC;IAC3D,IAAI,CAAC,kBAAkB,GAAG,CAAC,cAAc,EAAE,EAAE;QAC3C,MAAM,mBAAmB,GAAG,cAAc,CAAC,IAAI,CAAC;QAChD,cAAc,CAAC,IAAI,GAAG,CACpB,gBAAgB,EAChB,SAAS,EACT,iBAAiB,EACjB,gBAAgB,EAChB,kBAAkB,EAClB,EAAE;;YACF,MAAM,0BAA0B,GAAG,MAAA,OAAO,CAAC,qBAAqB,wDAC9D,cAAc,CAAC,UAAU,EAAE,CAC5B,CAAC;YAEF,MAAM,wBAAwB,GAAG,uBAAuB,CACtD,kBAAkB,EAClB,0BAA0B,CAC3B,CAAC;YAEF,OAAO,mBAAmB,CACxB,gBAAgB,EAChB,SAAS,EACT,iBAAiB,EACjB,gBAAgB,EAChB,wBAAwB,CACzB,CAAC;QACJ,CAAC,CAAC;QAEF,IAAI,0BAA0B;YAAE,0BAA0B,CAAC,cAAc,CAAC,CAAC;IAC7E,CAAC,CAAC;IAEF,MAAM,2BAA2B,GAAG,IAAI,CAAC,mBAAmB,CAAC;IAC7D,IAAI,CAAC,mBAAmB,GAAG,CAAO,CAAC,EAAE,CAAC,EAAE,CAAC,EAAE,CAAC,EAAE,EAAE;QAC9C,2BAA2B,aAA3B,2BAA2B,uBAA3B,2BAA2B,CAAG,CAAC,EAAE,CAAC,EAAE,CAAC,EAAE,CAAC,CAAC,CAAC;QAC1C,MAAM,CAAA,QAAQ,aAAR,QAAQ,uBAAR,QAAQ,CAAG,CAAC,EAAE,CAAC,EAAE,CAAC,EAAE,CAAC,CAAC,CAAA,CAAC;IAC/B,CAAC,CAAA,CAAC;IAEF,OAAO,EAAE,CAAC,kBAAkB,CAAC,IAAI,CAAC,CAAC;AACrC,CAAC;AA5DD,4DA4DC;AAED,SAAS,uBAAuB,CAC9B,0BAA0D,EAC1D,0BAA0D;IAE1D,IAAI,CAAC,0BAA0B;QAAE,OAAO,0BAA0B,CAAC;IAEnE,MAAM,wBAAwB,GAAuB,EAAE,CAAC;IACxD,IAAI,0BAA0B,CAAC,MAAM,EAAE;QACrC,wBAAwB,CAAC,MAAM,GAAG,CAAA,0BAA0B,aAA1B,0BAA0B,uBAA1B,0BAA0B,CAAE,MAAM;YAClE,CAAC,CAAC;gBACE,GAAG,0BAA0B,CAAC,MAAM;gBACpC,GAAG,0BAA0B,CAAC,MAAM;aACrC;YACH,CAAC,CAAC,0BAA0B,CAAC,MAAM,CAAC;KACvC;IAED,IAAI,0BAA0B,CAAC,KAAK,EAAE;QACpC,wBAAwB,CAAC,KAAK,GAAG,CAAA,0BAA0B,aAA1B,0BAA0B,uBAA1B,0BAA0B,CAAE,KAAK;YAChE,CAAC,CAAC;gBACE,GAAG,0BAA0B,CAAC,KAAK;gBACnC,GAAG,0BAA0B,CAAC,KAAK;aACpC;YACH,CAAC,CAAC,0BAA0B,CAAC,KAAK,CAAC;KACtC;IAED,IAAI,0BAA0B,CAAC,iBAAiB,EAAE;QAChD,wBAAwB,CAAC,iBAAiB;YACxC,CAAA,0BAA0B,aAA1B,0BAA0B,uBAA1B,0BAA0B,CAAE,iBAAiB;gBAC3C,CAAC,CAAC;oBACE,GAAG,0BAA0B,CAAC,iBAAiB;oBAC/C,GAAG,0BAA0B,CAAC,iBAAiB;iBAChD;gBACH,CAAC,CAAC,0BAA0B,CAAC,iBAAiB,CAAC;KACpD;IAED,OAAO,wBAAwB,CAAC;AAClC,CAAC;AAED,SAAS,qBAAqB,CAAC,OAAqC;IAClE,MAAM,QAAQ,GAAG,IAAA,yBAAY,EAAC,OAAO,CAAC,QAAQ,CAAC,CAAC;IAChD,QAAQ,CAAC,OAAO,CAAC,MAAM,GAAG,OAAO,CAAC,UAAU,CAAC;IAC7C,QAAQ,CAAC,OAAO,CAAC,aAAa,GAAG,IAAI,CAAC;IACtC,QAAQ,CAAC,OAAO,CAAC,OAAO,GAAG,OAAO,CAAC,OAAO,CAAC;IAC3C,OAAO,QAAQ,CAAC;AAClB,CAAC;AAED,SAAS,aAAa,CACpB,QAA8B,EAC9B,EAAE,WAAW,EAAE,qBAAqB,EAAgC;IAEpE,MAAM,IAAI,GAAG,EAAE,CAAC,kBAAkB,CAAC,QAAQ,CAAC,OAAO,CAAC,CAAC;IACrD,MAAM,OAAO,GAAG,EAAE,CAAC,aAAa,CAAC;QAC/B,SAAS,EAAE,QAAQ,CAAC,SAAS;QAC7B,OAAO,EAAE,QAAQ,CAAC,OAAO;QACzB,IAAI;KACL,CAAC,CAAC;IACH,eAAM,CAAC,IAAI,CAAC,2CAA2C,WAAW,MAAM,CAAC,CAAC;IAC1E,MAAM,OAAO,GAAG,OAAO,CAAC,IAAI,CAC1B,SAAS,EACT,SAAS,EACT,SAAS,EACT,SAAS,EACT,qBAAqB,aAArB,qBAAqB,uBAArB,qBAAqB,CAAG,OAAO,CAAC,CACjC,CAAC;IACF,IAAI,OAAO,CAAC,WAAW,EAAE;QACvB,MAAM,WAAW,GAAG,EAAE,CAAC,oCAAoC,CACzD,OAAO,CAAC,WAAW,EACnB;YACE,mBAAmB,EAAE,GAAG,EAAE,CAAC,EAAE,CAAC,GAAG,CAAC,mBAAmB,EAAE;YACvD,UAAU,EAAE,GAAG,EAAE,CAAC,EAAE,CAAC,GAAG,CAAC,OAAO;YAChC,oBAAoB,EAAE,CAAC,IAAI,EAAE,EAAE,CAAC,IAAI;SACrC,CACF,CAAC;QACF,eAAM,CAAC,KAAK,CAAC,WAAW,CAAC,CAAC;QAC1B,OAAO,EAAE,OAAO,EAAE,KAAK,EAAE,CAAC;KAC3B;SAAM;QACL,eAAM,CAAC,IAAI,CACT,gDAAgD,WAAW,IAAI,CAChE,CAAC;QACF,OAAO,EAAE,OAAO,EAAE,IAAI,EAAE,CAAC;KAC1B;AACH,CAAC;AAED,SAAS,gBAAgB,CACvB,OAAqC;;IAErC,uCACK,OAAO,KACV,gBAAgB,EAAE,MAAA,OAAO,CAAC,gBAAgB,mCAAI,IAAI,EAClD,OAAO,EAAE,MAAA,OAAO,CAAC,OAAO,mCAAI,OAAO,CAAC,WAAW,IAC/C;AACJ,CAAC"}
package/src/utils/lint.js CHANGED
@@ -78,7 +78,7 @@ function addLintFiles(projectRoot, linter, options = {}) {
78
78
  * so as well as applying the extension from the root lint config, we also
79
79
  * adjust the config to make extends come first.
80
80
  */
81
- const _a = options.localConfig, { extends: extendsVal } = _a, localConfigExceptExtends = (0, tslib_1.__rest)(_a, ["extends"]);
81
+ const _a = options.localConfig, { extends: extendsVal } = _a, localConfigExceptExtends = tslib_1.__rest(_a, ["extends"]);
82
82
  const extendsOption = extendsVal
83
83
  ? Array.isArray(extendsVal)
84
84
  ? extendsVal
@@ -1 +1 @@
1
- {"version":3,"file":"lint.js","sourceRoot":"","sources":["../../../../../packages/workspace/src/utils/lint.ts"],"names":[],"mappings":";;;;AAAA,+CAA4C;AAC5C,2DAKoC;AACpC,2CAAmD;AACnD,yCAOoB;AACpB,yCAA8C;AAQ9C,SAAgB,mBAAmB,CACjC,WAAmB,EACnB,YAAoB,EACpB,MAAc,EACd,kBAA4B;IAE5B,IAAI,MAAM,0BAAkB,EAAE;QAC5B,OAAO;YACL,OAAO,EAAE,sCAAsC;YAC/C,OAAO,EAAE;gBACP,QAAQ,EAAE,CAAC,YAAY,CAAC;gBACxB,OAAO,EAAE,CAAC,oBAAoB,EAAE,IAAI,WAAW,OAAO,CAAC;aACxD;SACF,CAAC;KACH;SAAM,IAAI,MAAM,0BAAkB,EAAE;QACnC,OAAO;YACL,OAAO,EAAE,qBAAqB;YAC9B,OAAO,EAAE;gBACP,gBAAgB,EAAE,kBAAkB;aACrC;SACF,CAAC;KACH;SAAM;QACL,OAAO,SAAS,CAAC;KAClB;AACH,CAAC;AAxBD,kDAwBC;AAWD,SAAgB,YAAY,CAC1B,WAAmB,EACnB,MAAc,EACd,UAA8B,EAAE;IAEhC,OAAO,CAAC,IAAU,EAAE,OAAyB,EAAE,EAAE;;QAC/C,IAAI,OAAO,CAAC,UAAU,IAAI,OAAO,CAAC,WAAW,EAAE;YAC7C,MAAM,IAAI,KAAK,CACb,4DAA4D,CAC7D,CAAC;SACH;QAED,MAAM,eAAe,GAAG,EAAE,CAAC;QAE3B,IAAI,MAAM,KAAK,QAAQ,EAAE;YACvB,eAAe,CAAC,IAAI,CAAC,CAAC,IAAU,EAAE,EAAE;gBAClC,IAAI,CAAC,IAAI,CAAC,MAAM,CAAC,cAAc,CAAC,EAAE;oBAChC,IAAI,CAAC,MAAM,CAAC,cAAc,EAAE,YAAY,CAAC,CAAC;iBAC3C;gBACD,IAAI,CAAC,OAAO,CAAC,UAAU,EAAE;oBACvB,IAAI,CAAC,MAAM,CACT,IAAA,WAAI,EAAC,WAAkB,EAAE,aAAa,CAAC,EACvC,IAAI,CAAC,SAAS,CAAC;wBACb,OAAO,EAAE,GAAG,IAAA,uBAAc,EAAC,WAAW,CAAC,aAAa;wBACpD,8EAA8E;wBAC9E,aAAa,EAAE;4BACb,OAAO,EAAE,CAAC,OAAO,CAAC;yBACnB;wBACD,KAAK,EAAE,EAAE;qBACV,CAAC,CACH,CAAC;iBACH;YACH,CAAC,CAAC,CAAC;YAEH,eAAe,CAAC,IAAI,CAClB,IAAA,gCAAoB,EAClB,EAAE,EACF;gBACE,MAAM,EAAE,wBAAa;gBACrB,+BAA+B,EAAE,4BAAiB;aACnD,CACF,CACF,CAAC;YAEF,OAAO,IAAA,kBAAK,EAAC,eAAe,CAAC,CAAC;SAC/B;QAED,IAAI,MAAM,KAAK,QAAQ,EAAE;YACvB,IAAI,CAAC,IAAI,CAAC,MAAM,CAAC,iBAAiB,CAAC,EAAE;gBACnC,IAAI,CAAC,MAAM,CAAC,iBAAiB,EAAE,YAAY,CAAC,CAAC;aAC9C;YACD,eAAe,CAAC,IAAI,CAClB,IAAA,gCAAoB,oBAEb,CAAC,OAAO,CAAC,gBAAgB;gBAC1B,CAAC,CAAC,OAAO,CAAC,gBAAgB,CAAC,YAAY;gBACvC,CAAC,CAAC,EAAE,CAAC,mBAGP,cAAc,EAAE,oBAAS,EACzB,wBAAwB,EAAE,oBAAS,EACnC,2BAA2B,EAAE,kCAAuB,EACpD,kCAAkC,EAAE,kCAAuB,EAC3D,MAAM,EAAE,wBAAa,EACrB,wBAAwB,EAAE,sCAA2B,IAClD,CAAC,MAAA,MAAA,OAAO,CAAC,gBAAgB,0CAAE,eAAe,mCAAI,EAAE,CAAC,EAEvD,CACF,CAAC;YAEF,IAAI,CAAC,OAAO,CAAC,UAAU,EAAE;gBACvB,eAAe,CAAC,IAAI,CAAC,CAAC,IAAU,EAAE,EAAE;oBAClC,IAAI,UAAU,CAAC;oBACf,MAAM,UAAU,GAAG,GAAG,IAAA,uBAAc,EAAC,WAAW,CAAC,gBAAgB,CAAC;oBAElE,gGAAgG;oBAChG,MAAM,cAAc,GAAG,CAAC,OAAO,CAAC,CAAC;oBAEjC,IAAI,OAAO,CAAC,WAAW,EAAE;wBACvB;;;;2BAIG;wBACH,MAAM,KACJ,OAAO,CAAC,WAAW,EADf,EAAE,OAAO,EAAE,UAAU,OACN,EADW,wBAAwB,2BAAlD,WAAoD,CACrC,CAAC;wBAEtB,MAAM,aAAa,GAAG,UAAU;4BAC9B,CAAC,CAAC,KAAK,CAAC,OAAO,CAAC,UAAU,CAAC;gCACzB,CAAC,CAAC,UAAU;gCACZ,CAAC,CAAC,CAAC,UAAU,CAAC;4BAChB,CAAC,CAAC,EAAE,CAAC;wBAEP,UAAU,mBACR,OAAO,EAAE,CAAC,GAAG,aAAa,EAAE,UAAU,CAAC,EACvC,cAAc,IACX,wBAAwB,CAC5B,CAAC;qBACH;yBAAM;wBACL,UAAU,GAAG;4BACX,OAAO,EAAE,UAAU;4BACnB,cAAc;4BACd,SAAS,EAAE;gCACT;oCACE,KAAK,EAAE,CAAC,MAAM,EAAE,OAAO,EAAE,MAAM,EAAE,OAAO,CAAC;oCACzC;;;;;;;;;;;;uCAYG;oCACH,aAAa,EAAE,CAAC,OAAO,CAAC,uBAAuB;wCAC7C,CAAC,CAAC,SAAS;wCACX,CAAC,CAAC;4CACE,OAAO,EAAE,CAAC,GAAG,WAAW,mBAAmB,CAAC;yCAC7C;oCACL;;;uCAGG;oCACH,KAAK,EAAE,EAAE;iCACV;gCACD;oCACE,KAAK,EAAE,CAAC,MAAM,EAAE,OAAO,CAAC;oCACxB,KAAK,EAAE,EAAE;iCACV;gCACD;oCACE,KAAK,EAAE,CAAC,MAAM,EAAE,OAAO,CAAC;oCACxB,KAAK,EAAE,EAAE;iCACV;6BACF;yBACF,CAAC;qBACH;oBAED,IAAI,CAAC,MAAM,CACT,IAAA,WAAI,EAAC,WAAkB,EAAE,gBAAgB,CAAC,EAC1C,IAAI,CAAC,SAAS,CAAC,UAAU,CAAC,CAC3B,CAAC;gBACJ,CAAC,CAAC,CAAC;aACJ;YAED,OAAO,IAAA,kBAAK,EAAC,eAAe,CAAC,CAAC;SAC/B;IACH,CAAC,CAAC;AACJ,CAAC;AAvJD,oCAuJC;AAED,MAAM,YAAY,GAAG;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;CAkEpB,CAAC;AAEF,MAAM,YAAY,GAAG,IAAI,CAAC,SAAS,CAAC;IAClC,IAAI,EAAE,IAAI;IACV,cAAc,EAAE,CAAC,MAAM,CAAC;IACxB,OAAO,EAAE,CAAC,UAAU,CAAC;IACrB;;;;;;OAMG;IACH,SAAS,EAAE;QACT;;;WAGG;QACH;YACE,KAAK,EAAE,CAAC,MAAM,EAAE,OAAO,EAAE,MAAM,EAAE,OAAO,CAAC;YACzC,KAAK,EAAE;gBACL,oCAAoC,EAAE;oBACpC,OAAO;oBACP;wBACE,6BAA6B,EAAE,IAAI;wBACnC,KAAK,EAAE,EAAE;wBACT,cAAc,EAAE;4BACd,EAAE,SAAS,EAAE,GAAG,EAAE,wBAAwB,EAAE,CAAC,GAAG,CAAC,EAAE;yBACpD;qBACF;iBACF;aACF;SACF;QAED;;;WAGG;QACH;YACE,KAAK,EAAE,CAAC,MAAM,EAAE,OAAO,CAAC;YACxB,OAAO,EAAE,CAAC,4BAA4B,CAAC;YACvC;;;eAGG;YACH,KAAK,EAAE,EAAE;SACV;QAED;;;WAGG;QACH;YACE,KAAK,EAAE,CAAC,MAAM,EAAE,OAAO,CAAC;YACxB,OAAO,EAAE,CAAC,4BAA4B,CAAC;YACvC;;;eAGG;YACH,KAAK,EAAE,EAAE;SACV;KACF;CACF,CAAC,CAAC"}
1
+ {"version":3,"file":"lint.js","sourceRoot":"","sources":["../../../../../packages/workspace/src/utils/lint.ts"],"names":[],"mappings":";;;;AAAA,+CAA4C;AAC5C,2DAKoC;AACpC,2CAAmD;AACnD,yCAOoB;AACpB,yCAA8C;AAQ9C,SAAgB,mBAAmB,CACjC,WAAmB,EACnB,YAAoB,EACpB,MAAc,EACd,kBAA4B;IAE5B,IAAI,MAAM,0BAAkB,EAAE;QAC5B,OAAO;YACL,OAAO,EAAE,sCAAsC;YAC/C,OAAO,EAAE;gBACP,QAAQ,EAAE,CAAC,YAAY,CAAC;gBACxB,OAAO,EAAE,CAAC,oBAAoB,EAAE,IAAI,WAAW,OAAO,CAAC;aACxD;SACF,CAAC;KACH;SAAM,IAAI,MAAM,0BAAkB,EAAE;QACnC,OAAO;YACL,OAAO,EAAE,qBAAqB;YAC9B,OAAO,EAAE;gBACP,gBAAgB,EAAE,kBAAkB;aACrC;SACF,CAAC;KACH;SAAM;QACL,OAAO,SAAS,CAAC;KAClB;AACH,CAAC;AAxBD,kDAwBC;AAWD,SAAgB,YAAY,CAC1B,WAAmB,EACnB,MAAc,EACd,UAA8B,EAAE;IAEhC,OAAO,CAAC,IAAU,EAAE,OAAyB,EAAE,EAAE;;QAC/C,IAAI,OAAO,CAAC,UAAU,IAAI,OAAO,CAAC,WAAW,EAAE;YAC7C,MAAM,IAAI,KAAK,CACb,4DAA4D,CAC7D,CAAC;SACH;QAED,MAAM,eAAe,GAAG,EAAE,CAAC;QAE3B,IAAI,MAAM,KAAK,QAAQ,EAAE;YACvB,eAAe,CAAC,IAAI,CAAC,CAAC,IAAU,EAAE,EAAE;gBAClC,IAAI,CAAC,IAAI,CAAC,MAAM,CAAC,cAAc,CAAC,EAAE;oBAChC,IAAI,CAAC,MAAM,CAAC,cAAc,EAAE,YAAY,CAAC,CAAC;iBAC3C;gBACD,IAAI,CAAC,OAAO,CAAC,UAAU,EAAE;oBACvB,IAAI,CAAC,MAAM,CACT,IAAA,WAAI,EAAC,WAAkB,EAAE,aAAa,CAAC,EACvC,IAAI,CAAC,SAAS,CAAC;wBACb,OAAO,EAAE,GAAG,IAAA,uBAAc,EAAC,WAAW,CAAC,aAAa;wBACpD,8EAA8E;wBAC9E,aAAa,EAAE;4BACb,OAAO,EAAE,CAAC,OAAO,CAAC;yBACnB;wBACD,KAAK,EAAE,EAAE;qBACV,CAAC,CACH,CAAC;iBACH;YACH,CAAC,CAAC,CAAC;YAEH,eAAe,CAAC,IAAI,CAClB,IAAA,gCAAoB,EAClB,EAAE,EACF;gBACE,MAAM,EAAE,wBAAa;gBACrB,+BAA+B,EAAE,4BAAiB;aACnD,CACF,CACF,CAAC;YAEF,OAAO,IAAA,kBAAK,EAAC,eAAe,CAAC,CAAC;SAC/B;QAED,IAAI,MAAM,KAAK,QAAQ,EAAE;YACvB,IAAI,CAAC,IAAI,CAAC,MAAM,CAAC,iBAAiB,CAAC,EAAE;gBACnC,IAAI,CAAC,MAAM,CAAC,iBAAiB,EAAE,YAAY,CAAC,CAAC;aAC9C;YACD,eAAe,CAAC,IAAI,CAClB,IAAA,gCAAoB,oBAEb,CAAC,OAAO,CAAC,gBAAgB;gBAC1B,CAAC,CAAC,OAAO,CAAC,gBAAgB,CAAC,YAAY;gBACvC,CAAC,CAAC,EAAE,CAAC,mBAGP,cAAc,EAAE,oBAAS,EACzB,wBAAwB,EAAE,oBAAS,EACnC,2BAA2B,EAAE,kCAAuB,EACpD,kCAAkC,EAAE,kCAAuB,EAC3D,MAAM,EAAE,wBAAa,EACrB,wBAAwB,EAAE,sCAA2B,IAClD,CAAC,MAAA,MAAA,OAAO,CAAC,gBAAgB,0CAAE,eAAe,mCAAI,EAAE,CAAC,EAEvD,CACF,CAAC;YAEF,IAAI,CAAC,OAAO,CAAC,UAAU,EAAE;gBACvB,eAAe,CAAC,IAAI,CAAC,CAAC,IAAU,EAAE,EAAE;oBAClC,IAAI,UAAU,CAAC;oBACf,MAAM,UAAU,GAAG,GAAG,IAAA,uBAAc,EAAC,WAAW,CAAC,gBAAgB,CAAC;oBAElE,gGAAgG;oBAChG,MAAM,cAAc,GAAG,CAAC,OAAO,CAAC,CAAC;oBAEjC,IAAI,OAAO,CAAC,WAAW,EAAE;wBACvB;;;;2BAIG;wBACH,MAAM,KACJ,OAAO,CAAC,WAAW,EADf,EAAE,OAAO,EAAE,UAAU,OACN,EADW,wBAAwB,sBAAlD,WAAoD,CACrC,CAAC;wBAEtB,MAAM,aAAa,GAAG,UAAU;4BAC9B,CAAC,CAAC,KAAK,CAAC,OAAO,CAAC,UAAU,CAAC;gCACzB,CAAC,CAAC,UAAU;gCACZ,CAAC,CAAC,CAAC,UAAU,CAAC;4BAChB,CAAC,CAAC,EAAE,CAAC;wBAEP,UAAU,mBACR,OAAO,EAAE,CAAC,GAAG,aAAa,EAAE,UAAU,CAAC,EACvC,cAAc,IACX,wBAAwB,CAC5B,CAAC;qBACH;yBAAM;wBACL,UAAU,GAAG;4BACX,OAAO,EAAE,UAAU;4BACnB,cAAc;4BACd,SAAS,EAAE;gCACT;oCACE,KAAK,EAAE,CAAC,MAAM,EAAE,OAAO,EAAE,MAAM,EAAE,OAAO,CAAC;oCACzC;;;;;;;;;;;;uCAYG;oCACH,aAAa,EAAE,CAAC,OAAO,CAAC,uBAAuB;wCAC7C,CAAC,CAAC,SAAS;wCACX,CAAC,CAAC;4CACE,OAAO,EAAE,CAAC,GAAG,WAAW,mBAAmB,CAAC;yCAC7C;oCACL;;;uCAGG;oCACH,KAAK,EAAE,EAAE;iCACV;gCACD;oCACE,KAAK,EAAE,CAAC,MAAM,EAAE,OAAO,CAAC;oCACxB,KAAK,EAAE,EAAE;iCACV;gCACD;oCACE,KAAK,EAAE,CAAC,MAAM,EAAE,OAAO,CAAC;oCACxB,KAAK,EAAE,EAAE;iCACV;6BACF;yBACF,CAAC;qBACH;oBAED,IAAI,CAAC,MAAM,CACT,IAAA,WAAI,EAAC,WAAkB,EAAE,gBAAgB,CAAC,EAC1C,IAAI,CAAC,SAAS,CAAC,UAAU,CAAC,CAC3B,CAAC;gBACJ,CAAC,CAAC,CAAC;aACJ;YAED,OAAO,IAAA,kBAAK,EAAC,eAAe,CAAC,CAAC;SAC/B;IACH,CAAC,CAAC;AACJ,CAAC;AAvJD,oCAuJC;AAED,MAAM,YAAY,GAAG;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;CAkEpB,CAAC;AAEF,MAAM,YAAY,GAAG,IAAI,CAAC,SAAS,CAAC;IAClC,IAAI,EAAE,IAAI;IACV,cAAc,EAAE,CAAC,MAAM,CAAC;IACxB,OAAO,EAAE,CAAC,UAAU,CAAC;IACrB;;;;;;OAMG;IACH,SAAS,EAAE;QACT;;;WAGG;QACH;YACE,KAAK,EAAE,CAAC,MAAM,EAAE,OAAO,EAAE,MAAM,EAAE,OAAO,CAAC;YACzC,KAAK,EAAE;gBACL,oCAAoC,EAAE;oBACpC,OAAO;oBACP;wBACE,6BAA6B,EAAE,IAAI;wBACnC,KAAK,EAAE,EAAE;wBACT,cAAc,EAAE;4BACd,EAAE,SAAS,EAAE,GAAG,EAAE,wBAAwB,EAAE,CAAC,GAAG,CAAC,EAAE;yBACpD;qBACF;iBACF;aACF;SACF;QAED;;;WAGG;QACH;YACE,KAAK,EAAE,CAAC,MAAM,EAAE,OAAO,CAAC;YACxB,OAAO,EAAE,CAAC,4BAA4B,CAAC;YACvC;;;eAGG;YACH,KAAK,EAAE,EAAE;SACV;QAED;;;WAGG;QACH;YACE,KAAK,EAAE,CAAC,MAAM,EAAE,OAAO,CAAC;YACxB,OAAO,EAAE,CAAC,4BAA4B,CAAC;YACvC;;;eAGG;YACH,KAAK,EAAE,EAAE;SACV;KACF;CACF,CAAC,CAAC"}
@@ -32,7 +32,7 @@ function formatFiles(options = { skipFormat: false }, directory = '') {
32
32
  if (files.size === 0) {
33
33
  return host;
34
34
  }
35
- return (0, rxjs_1.from)(files).pipe((0, operators_1.filter)((file) => host.exists(file.path)), (0, operators_1.mergeMap)((file) => (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
35
+ return (0, rxjs_1.from)(files).pipe((0, operators_1.filter)((file) => host.exists(file.path)), (0, operators_1.mergeMap)((file) => tslib_1.__awaiter(this, void 0, void 0, function* () {
36
36
  const systemPath = path.join(devkit_1.appRootPath, file.path);
37
37
  let options = {
38
38
  filepath: systemPath,
@@ -14,7 +14,7 @@ const core_1 = require("@angular-devkit/core");
14
14
  * @param packageNameMapping The packageNameMapping provided to the schematic
15
15
  */
16
16
  function renamePackageImports(packageNameMapping) {
17
- return (tree, _context) => (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
17
+ return (tree, _context) => tslib_1.__awaiter(this, void 0, void 0, function* () {
18
18
  const workspace = yield (0, workspace_1.getWorkspace)(tree);
19
19
  const rules = [];
20
20
  workspace.projects.forEach((project) => {
@@ -101,12 +101,12 @@ class MockBuilderContext {
101
101
  return this.architectHost.workspaceRoot;
102
102
  }
103
103
  addBuilderFromPackage(path) {
104
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
104
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
105
105
  return yield this.architectHost.addBuilderFromPackage(path);
106
106
  });
107
107
  }
108
108
  addTarget(target, builderName) {
109
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
109
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
110
110
  return this.architectHost.addTarget(target, builderName);
111
111
  });
112
112
  }
@@ -130,7 +130,7 @@ class MockBuilderContext {
130
130
  reportProgress(current, total, status) { }
131
131
  addTeardown(teardown) { }
132
132
  getProjectMetadata(target) {
133
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
133
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
134
134
  return (this.architectHost &&
135
135
  this.architectHost.getProjectMetadata(target));
136
136
  });
@@ -63,7 +63,7 @@ function createLibWithTests(tree, libName, testBuilder, testSetupFile) {
63
63
  }
64
64
  exports.createLibWithTests = createLibWithTests;
65
65
  function getTestArchitect() {
66
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
66
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
67
67
  const architectHost = new testing_2.TestingArchitectHost('/root', '/root');
68
68
  const registry = new core_1.schema.CoreSchemaRegistry();
69
69
  registry.addPostTransform(core_1.schema.transforms.addUndefinedDefaults);
@@ -74,7 +74,7 @@ function getTestArchitect() {
74
74
  }
75
75
  exports.getTestArchitect = getTestArchitect;
76
76
  function getMockContext() {
77
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
77
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
78
78
  const [architect, architectHost] = yield getTestArchitect();
79
79
  const context = new testing_utils_1.MockBuilderContext(architect, architectHost);
80
80
  yield context.addBuilderFromPackage((0, path_1.join)(__dirname, '../..'));
@@ -1,5 +1,5 @@
1
1
  "use strict";
2
2
  Object.defineProperty(exports, "__esModule", { value: true });
3
3
  const tslib_1 = require("tslib");
4
- (0, tslib_1.__exportStar)(require("../utilities/version-utils"), exports);
4
+ tslib_1.__exportStar(require("../utilities/version-utils"), exports);
5
5
  //# sourceMappingURL=version-utils.js.map
@@ -1 +1 @@
1
- {"version":3,"file":"version-utils.js","sourceRoot":"","sources":["../../../../../packages/workspace/src/utils/version-utils.ts"],"names":[],"mappings":";;;AAAA,0EAA2C"}
1
+ {"version":3,"file":"version-utils.js","sourceRoot":"","sources":["../../../../../packages/workspace/src/utils/version-utils.ts"],"names":[],"mappings":";;;AAAA,qEAA2C"}
@@ -1,7 +1,7 @@
1
1
  "use strict";
2
2
  Object.defineProperty(exports, "__esModule", { value: true });
3
3
  exports.eslintConfigPrettierVersion = exports.eslintVersion = exports.typescriptESLintVersion = exports.tslintVersion = exports.prettierVersion = exports.typescriptVersion = exports.angularCliVersion = exports.nxVersion = void 0;
4
- exports.nxVersion = '13.10.0-beta.2';
4
+ exports.nxVersion = '13.10.0-beta.5';
5
5
  exports.angularCliVersion = '~13.3.0';
6
6
  exports.typescriptVersion = '~4.6.2';
7
7
  exports.prettierVersion = '^2.5.1';
@@ -6,7 +6,7 @@ const core_1 = require("@angular-devkit/core");
6
6
  function createHost(tree) {
7
7
  return {
8
8
  readFile(path) {
9
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
9
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
10
10
  const data = tree.read(path);
11
11
  if (!data) {
12
12
  throw new Error('File not found.');
@@ -15,12 +15,12 @@ function createHost(tree) {
15
15
  });
16
16
  },
17
17
  writeFile(path, data) {
18
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
18
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
19
19
  return tree.overwrite(path, data);
20
20
  });
21
21
  },
22
22
  isDirectory(path) {
23
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
23
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
24
24
  // approximate a directory check
25
25
  // special case needed when testing wrapped schematics
26
26
  if (path === '/')
@@ -29,14 +29,14 @@ function createHost(tree) {
29
29
  });
30
30
  },
31
31
  isFile(path) {
32
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
32
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
33
33
  return tree.exists(path);
34
34
  });
35
35
  },
36
36
  };
37
37
  }
38
38
  function getWorkspace(tree, path = '/') {
39
- return (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
39
+ return tslib_1.__awaiter(this, void 0, void 0, function* () {
40
40
  const host = createHost(tree);
41
41
  const { workspace } = yield core_1.workspaces.readWorkspace(path, host);
42
42
  return workspace;
@@ -44,7 +44,7 @@ function getWorkspace(tree, path = '/') {
44
44
  }
45
45
  exports.getWorkspace = getWorkspace;
46
46
  function updateWorkspace(updaterOrWorkspace) {
47
- return (tree) => (0, tslib_1.__awaiter)(this, void 0, void 0, function* () {
47
+ return (tree) => tslib_1.__awaiter(this, void 0, void 0, function* () {
48
48
  const host = createHost(tree);
49
49
  if (typeof updaterOrWorkspace === 'function') {
50
50
  const { workspace } = yield core_1.workspaces.readWorkspace('/', host);